VHDL交通灯控制器的设计
生活随笔
收集整理的這篇文章主要介紹了
VHDL交通灯控制器的设计
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
主要內容:
設計主干道的交叉路口交通信號燈無人自動管理的控制系統.將路口紅綠燈的各種亮燈情況定義不同的狀態,路口狀況定義為觸發條件,組成有限狀態機。
1、設計的目的
本次課程設計的目的是通過設計交通燈控制器,了解EDA技術,了解并掌握VHDL硬件描述語言的設計方法和思想,鞏固和綜合運用所學過的計算機組成原理知識,提高分析、解決計算機技術實際問題的獨立工作能力。
2 、設計的基本內容
這次課程設計的題目是交通燈控制器的設計。設計了主干道的交叉路口交通信號燈無人自動管理的控制系統.將路口紅綠燈的各種亮燈情況定義不同的狀態,路口狀況定義為觸發條件,組成有限狀態機.基于此模型的交通信號燈控制系統可充分利用現有交通資源,緩解城市交通壓力。
課設報告和代碼截圖如下:
交通燈VHDL語言代碼:
頂層模塊
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity traffic_light is
port(clk,sm,sc:in bit;
mg,my,mr,cg,cy,cr:out bit);
end traffic_light;
architecture arch of traffic_light is
type state_type is (a,b,c,d
總結
以上是生活随笔為你收集整理的VHDL交通灯控制器的设计的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: EasyUI学习总结(二)——easyl
- 下一篇: VHDL四选一数据选择器和基本触发器的设