用VHDL编写testbench激励文件
生活随笔
收集整理的這篇文章主要介紹了
用VHDL编写testbench激励文件
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
最近在做nandflash的擦寫,要用VHDL編寫激勵文件做仿真,之前一直用的Verilog,現在總結如何用VHDL語言編寫testbench。
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL;entity (測試平臺名)is end ;architecture Behavioral of (測試平臺名)is signal a:std_logic;--激勵變量聲明,無需指定端口類型 signal b:std_logic; ... signal q:std_logic;component (待測試文件實體名)--聲明待測試元件port(a: in std_logic;b: in std_logic;q: out std_logic); end component;begin i1:(待測試文件名) --連接測試文件port map(a=>a,b=>b,q=>q);clk<=not clk after clk_period/2; --產生時鐘信號pr1:process begin (產生激勵) end process; pr2:process begin (產生激勵) end process; end Behavioral;總結
以上是生活随笔為你收集整理的用VHDL编写testbench激励文件的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 微信小程序用户隐私保护指引设置怎么填?
- 下一篇: EF实现模糊查询