EDA与VHDL题目——七人表决器
生活随笔
收集整理的這篇文章主要介紹了
EDA与VHDL题目——七人表决器
小編覺得挺不錯(cuò)的,現(xiàn)在分享給大家,幫大家做個(gè)參考.
EDA與VHDL題目——七人表決器
代碼
library ieee; use ieee.std_logic_1164.all; entity voterinseven is port( vote:in std_logic_vector(6 downto 0);pass:out std_logic ); end; architecture voter of voterinseven is beginprocess (vote)variable cont:integer range 0 to 7;begincont:=0;for i in 6 downto 0 loop -- 使用FOR循環(huán)遍歷7個(gè)引腳if vote(i)='1' then -- 等于 1 時(shí)加一cont:=cont+1;end if;end loop;if cont>3 thenpass<='1';elsepass<='0';end if;end process; end voter;總結(jié)
以上是生活随笔為你收集整理的EDA与VHDL题目——七人表决器的全部?jī)?nèi)容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 2021-07-30
- 下一篇: 推荐好用的ssh远程连接 linux的工