verilog实现格雷码(Gray Code)与二进制编码转换
生活随笔
收集整理的這篇文章主要介紹了
verilog实现格雷码(Gray Code)与二进制编码转换
小編覺得挺不錯的,現在分享給大家,幫大家做個參考.
此博客為個人博客,不涉及商業用途,僅提供學習參考,內容均來自個人原創以及互聯網轉載和摘錄。
此博客上帶有原創標識的文章、圖片、文件等,未經本人允許,不得用于商業用途以及傳統媒體。
本文首發于CSDN,版權所有,禁止轉載。
如需轉載,請在評論區留言或私信申請,經同意后可轉載,否則屬于侵權行為。
原博客鏈接:https://blog.csdn.net/qq_38305370
原博主昵稱:城外南風起
————————————————
目錄
- 二進制碼轉格雷碼
- 格雷碼轉二進制碼
- testbench
- 仿真波形
- 參考文獻
格雷碼(Gray Code)相鄰的2個數值之間只會有一位發生變化,其余各位都相同。在異步FIFO中,跨時鐘域傳輸讀寫指針會使用格雷碼,從而大幅降低亞穩態概率,具體原因可以參考我的文章《跨時鐘域傳輸的黃金搭檔:異步FIFO與格雷碼》。
格雷碼的原理和編碼方式可參考[1]。
本文以3bit數據為例。
二進制碼轉格雷碼
代碼:
module bin2gray(input [2:0] bin,output [2:0] gray);reg[2:0] gray,temp;always @(*) begintemp = (bin >> 1);gray[2] = bin[2];gray[1:0] = temp[1:0] ^ bin[1:0];endendmodule格雷碼轉二進制碼
代碼:
module gray2bin(input [2:0] gray,output [2:0] bin);assign bin[2] = gray[2];generategenvar i;for(i=0;i<2;i=i+1) begin:g2bassign bin[i] = gray[i]^bin[i+1];endendgenerateendmoduletestbench
代碼:
`timescale 1ns / 1psmodule bin2gray_tb();reg [2:0] bin_data;wire [2:0] gray_data;wire [2:0] out;initialbeginbin_data = 0;#200 $stop;endalways #10 bin_data = bin_data + 1;bin2gray bin2gray0(.bin(bin_data),.gray(gray_data)); gray2bin gray2bin0(.bin(out),.gray(gray_data)); endmodule仿真波形
參考文獻
[1]梅媛,沈祖斌.格雷碼的來源以及格雷碼的應用[J].科技視界,2016(27):204+200.
————————————————
感謝您的閱讀,如果您有收獲,請給我一個三連吧!
如果您覺得這還不夠,可以點擊 打賞 按鈕,告訴我: 你幣有了!
我是城外南風起,歡迎關注我的公眾號【木葉芯】。
木葉飛舞之處,火亦生生不息。
總結
以上是生活随笔為你收集整理的verilog实现格雷码(Gray Code)与二进制编码转换的全部內容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: mysql用supervisor管理_S
- 下一篇: c语言 malloc_C语言快速入门——