該可編程器件實驗板是以 Altera 公司的 MAX II 系列可編程器件
EPM1270T144C5 為核心芯片,是一款具有多種外部接口和顯示器件的通用數字電路實驗平臺。選用1kHz。
一、 設計課題的任務要求
1、 智能藥盒有三個藥格,分別對應老年人每天早中晚的三次服藥,每個藥格有對應的提醒燈。按下BTN0 鍵進入開機初始狀態,三個藥格的提醒燈在 8×8 點陣中顯示紅、綠、黃圖案。
2、 三個藥格可通過 BTN7 鍵切換設定服藥時間,切換到哪個藥格,相應藥格的提醒圖案以 2Hz 的頻率進行閃爍顯示。服藥時間的設定采用 BTN6 和 BTN5 鍵,BTN6 每按 1 下,時間加 1 秒,BTN5 每按 1 下,時間減 1 秒,每個藥格可設定一個時間,設定時間范圍在 0~59 秒之間,在設定過程中采用數碼管 DISP1 和 DISP0 顯示所設定的服藥時間。
3、 服藥時間設定結束后,按下智能藥盒工作鍵 BTN2 后,藥格提醒圖案停止閃爍,藥 盒開始工作,并從 0 開始進行 60 秒計時,數碼管 DISP1 和 DISP0 顯示計時時間。當計時計到各個藥格所設定的服藥時間時,點陣上相應藥格的提醒圖案以 4Hz 的頻率進行閃爍提醒,同時蜂鳴器報警,按 BTN1 鍵后報警和閃爍停止。
4、 智能藥盒計到 60 秒后重復開始服藥計時和提醒,直到按下 BTN0 鍵后智能藥盒關閉,點陣全滅,計時停止。
提高要求:
1、 用 4*4 小鍵盤設定服藥時間;
2、 采用液晶 LCD1602 顯示設定的服藥時間;
3、 增加高級模式,每個藥格可設定 1~2個服藥時間進行提醒; 4、 自擬其他功能。
二、 系統設計
設計思路
該智能藥盒類似于一個鬧鐘,也就是計時器。核心內容就是設定幾個時間,然后計時到所設定的時間時報警。8×8 點陣用于顯示藥格,數碼管顯示時間,蜂鳴器報警。
總體框圖
分塊設計
1). 計時與計數:這是實驗的核心內容。由于計時和計數都涉及到對時間的操作,因此這兩個功能必須集合到同一個模塊并且是同一個always塊里。剛開機后,藥盒應處于計數狀態,用來設定時間;按下BTN2后進入計時狀態,開始60秒循環計時。所以使用一個work信號來控制計時與計數的切換。work初始為0,對應計數狀態,按BTN2使work翻轉,狀態切換。計數時按BTN4獲取當前時間值并輸出,在這個模塊的外部會有其他模塊負責將這個獲取的時間存起來。這里需要注意獲取時間和存儲時間的先后問題,否則會存入無效時間。因此,選擇在時鐘上升沿獲取時間,然后在按鍵信號下降沿存儲,二者相差一個時鐘周期。
2). 8*8點陣顯示:點陣用紅、綠、黃分別表示3個藥格。在設定時間以及報警時都要求對應的點陣要閃爍,因此,它可以分為4種狀態:都不閃,紅色閃,綠色閃,黃色閃。這樣我們就可以用一個2位的二進制數來表示它們:00,01,10,11.同時選用BTN7驅動模4計數器,按一下,二進制數加一,狀態切換,這樣就實現了藥格切換。藥格的顯示是采用行掃描的形式,由于只掃描2行,所以將行掃描信號和時鐘信號綁定,當時鐘為高電平時,掃第3行,時鐘為低電平時掃第4行,這樣之后就不用重復對行信號進行處理了。
3). 數碼管顯示:由于只用兩個數碼管,所以將數碼管的陰極信號與時鐘綁定,當時鐘為高電平時,亮十位的數碼管,同時陽極信號賦值為時間的十位,時鐘為低電平時亮個位的數碼管,同時陽極信號賦值為時間的個位,這樣就完成了數碼管的顯示。
三、 功能說明及資源利用情況
1.功能說明
該藥盒實現了課題要求的基本功能,包括按BTN0開機關機,按BTN7切換設定服藥時間,切換時,對應的藥格會以2Hz閃爍。按BTN6和BTN5可分別實現加計數和減計數,按下BTN4即可保存時間。之后,按下BTN2便可進入計時工作狀態。藥盒能夠循環進行60秒計時,當到達設定的時間后,對應藥格4Hz閃爍,同時蜂鳴器報警。報警和閃爍可按下BTN1手動關閉,或者計時到60秒后自動關閉。按下BTN2又可切換到設定時間狀態,設定新的時間。再按下BTN0后,藥盒關機,數據清空。此外,還實現了提高功能之一,即每個藥盒可以設定一到兩個時間。
2.資源利用情況
總共使用了200個邏輯門和50個管腳。
四、 故障及問題分析
1.在代碼編寫過程中,運行代碼調試時,經常報錯說重復賦值。比如計數與計時重復對時間負值;關機將數據清零時,又對這些數據重復進行了賦值。所以,需要將這些對變量的賦值都綜合到對應的同一個模塊里,以此避免沖突。
2.在測試功能的時候,發現保存的時間總是會出現錯位。分析發現是獲取時間與存儲時間同時進行導致的。在獲取時間的同時存時間,存儲的并不是獲取的時間,而是初始化的0時間,然后在下一次存時間時,才會存儲這次獲取的時間,也就是發生了錯位。因此,選擇在時鐘上升沿獲取時間,然后在按鍵信號下降沿存儲,二者相差一個時鐘周期。這樣錯開后,功能正常實現。
3.在測試功能時,發現在剛開始計時時就報警。分析發現,原本能設置兩個時間的藥格,如果只設置一個時間,剩下的那個時間就會保持初始化的0時間,這樣剛開始計時就會報警。因此,增加一個判斷條件,來取消對初始0時間的報警。
module imbox( //上層模塊 input clk, //時鐘信號,需選用1kHz input btn0, //開機關機鍵 input btn1, //關閉報警鍵 input btn2, //開始或停止計時 input btn4, //保存時間 input btn5, //減計數鍵 input btn6, //加計數鍵 input btn7, //切換藥格鍵 output [7:0]col_r, //8*8點陣的列信號,紅色 output [7:0]col_g, //8*8點陣的列信號,綠色 output beep, //蜂鳴器 output [7:0] seg, //數碼管陽極信號 output reg [7:0] row, //8*8點陣的行信號,用于進行行掃描 output reg [7:0] cat, //數碼管陰極信號 output led //led燈,在BTN4按下時響應 );wire [1:0] change; //藥格切換信號 reg [1:0] remind; //報警狀態下的藥格信號 wire clk_2, clk_4,clk_500; //不同頻率的時鐘 wire key0, key1, key2, key4, key5, key6, key7; //消抖后的按鍵信號 reg clk_2_r,clk_500_r, work,start,rmd; //生成時鐘的中間量;工作、開始、提醒信號 wire [5:0] total; //計數與計時 wire [5:0] time_e; //獲取設定時間 reg [11:0] timee [2:0]; //存儲設定時間 reg [3:0] data; //數碼管顯示的數據 wire [3:0] data1, data2; //時間的十位和個位 always@(posedge key0) //開機于關機 begin start<=~start; end divide d0(clk,clk_4); //時鐘分頻 always@(posedge clk_4) clk_2_r<=~clk_2_r; assign clk_2=clk_2_r; always@(posedge clk) clk_500_r<=~clk_500_r; assign clk_500=clk_500_r; always@(clk) if(~start) //關機狀態 begin row<=8'b11111111; cat<=8'b11111111; end else if(clk) //將row,cat,data與時鐘綁定,隨時鐘變化而自動掃描 begin row<=8'b11101111; cat<=8'b11111101; data<=data1; end else begin row<=8'b11110111; cat<=8'b11111110; data<=data2; end always@(negedge clk) if(~start) work<=0; else if(key2) //非工作工作(計數與計時切換) work<=~work; else work<=work; always@(negedge key4 or posedge key0) if(key0) begin timee[0][11:0]<=0; timee[1][11:0]<=0; timee[2][11:0]<=0; end else if(change>0) timee[change-1][11:0]<={timee[change-1][5:0],time_e}; //移位寄存設定的時間 //按鍵消抖 debounce d1(clk,{btn0,btn1,btn2,btn4,btn5,btn6,btn7},{key0,key1,key2,key4,key5,key6,key7});//切換藥格 counter4 c1(start,key7,change); //8*8點陣顯示 show s0(col_r,col_g,clk,clk_500,((work&rmd)?clk_4:clk_2),((work&rmd)?remind:change));//計數與計時 timer t0(start,work,clk,key6,key5,key4,key2,led,total,time_e); //計算十位和個位 div_rill d2(total,data1,data2); //數碼管顯示 segment s1(clk,data,seg); //控制報警 always@(posedge clk ) if(key1|key0|(~start)|(~work)) rmd<=0; else case(total) timee[0][11:6]:begin remind<=2'b01;rmd<=timee[0][11:6];end// timee[0][5:0] :begin remind<=2'b01;rmd<=1;end timee[1][11:6]:begin remind<=2'b10;rmd<=timee[1][11:6];end// timee[1][5:0] :begin remind<=2'b10;rmd<=1;end timee[2][11:6]:begin remind<=2'b11;rmd<=timee[2][11:6];end// timee[2][5:0] :begin remind<=2'b11;rmd<=1;end default:begin remind<=remind;rmd<=rmd;end endcase assign beep=rmd&clk; //蜂鳴器報警 endmodule //計數與計時 module timer ( input start, //開始信號(開機或關機) input work, //工作信號(計數或計時) input clk1, //時鐘 input key6, //加計數 input key5, //減計數 input key4, //保存時間 input key2, //切換計數與計時 output reg led, //用于響應key4 output reg [5:0]total, //時間 output reg [5:0]time_e //獲取設定的時間用以保存 ); reg [9:0] cnt; always @(posedge clk1) if((~start)|key2) total<=6'b000000; else if(~work) begin if (key6&(total<59)) total <= total+1'b1; //計數 else if (key5&(total>0)) total <= total-1'b1; else if(key4&(total>0)) begin time_e<=total; //獲取設定的時間用以保存 led<=key4; end else begin total <= total; led<=0; end end else if (cnt==999) begin cnt<=0; if(total==60) total<=1'b0; else total<=total+1'b1; //計時 end else cnt<=cnt+1; endmodule //數碼管顯示 module segment( input clk, //時鐘 input [3:0]data, //數碼管陽極 output reg [7:0]seg //數碼管 ); always @(clk) case (data) //將陽極的數據譯碼顯示為數字 4'b0000: begin seg<=8'h3f;end 4'b0001: begin seg<=8'h06;end 4'b0010: begin seg<=8'h5b;end 4'b0011: begin seg<=8'h4f;end 4'b0100: begin seg<=8'h66;end 4'b0101: begin seg<=8'h6d;end 4'b0110: begin seg<=8'h7d;end 4'b0111: begin seg<=8'h07;end 4'b1000: begin seg<=8'h7f;end 4'b1001: begin seg<=8'h6f;end endcase endmodule //除法和取余(二進制轉十進制) module div_rill ( input [5:0] a, //需要處理的二進制數 output reg [3:0] yshang, //十位(除以十后的商) output reg [3:0] yyushu //個位(除以十后的余數) ); reg[5:0] tempa; reg[8:0] temp_a; integer i; always @(a ) tempa <= a; always @(tempa) begin temp_a = {3'b000,tempa}; for(i = 0;i < 3;i = i + 1) begin temp_a = {temp_a[7:0],1'b0}; if(temp_a[8:3] >= 4'b1010) temp_a = temp_a - 7'b1010000 + 1'b1; else temp_a = temp_a; end yshang <= {1'b0,temp_a[2:0]}; yyushu <= temp_a[6:3]; end endmodule //8*8點陣顯示 module show( output reg[7:0]col_r, //8*8點陣的列信號,紅色 output reg[7:0]col_g, //8*8點陣的列信號,綠色 input clk, //1kHz時鐘 input clk500, //500Hz,讓橙色和綠色交替,從而調出黃色 input clkout, //閃爍時鐘,調用時的參數可在2Hz和4Hz間切換 input [1:0]cnt //藥格信號,切換藥格 ); always @ (clk) if(clkout) case (clk500) 0: begin col_r<=8'b00000011;col_g<=8'b11011000; end //綠|綠|紅 1: begin col_r<=8'b11000011;col_g<=8'b11011000; end //橙|綠|紅(上面的綠色與下面的橙色疊加出黃色) endcase else case(cnt) 2'b00:begin case (clk500) //初始狀態 0: begin col_r<=8'b00000011;col_g<=8'b11011000; end 1: begin col_r<=8'b11000011;col_g<=8'b11011000; end endcase end 2'b01:begin case (clk500) //紅燈閃爍 0: begin col_r<=8'b00000000;col_g<=8'b11011000; end 1: begin col_r<=8'b11000000;col_g<=8'b11011000; end endcase end 2'b10:begin case (clk500) //綠燈閃爍 0: begin col_r<=8'b00000011;col_g<=8'b11000000; end 1: begin col_r<=8'b11000011;col_g<=8'b11000000; end endcase end 2'b11:begin case (clk500) //黃燈閃爍 0: begin col_r<=8'b00000011;col_g<=8'b00011000; end 1: begin col_r<=8'b00000011;col_g<=8'b00011000; end endcase end endcase endmodule //模4計數(分別對應3個藥格和初始狀態,用來控制切換藥格) module counter4 ( input start, //開機信號 input btn, //按鍵計數 output reg [1:0]count //模4計數 ) ; always @ (posedge btn or negedge start) if(~start) count<=2'b00; else if(count==2'b11) count<=2'b00; else count<=count+1'b1; endmodule //時鐘分頻 module divide (clk,clkout); input clk; //輸入時鐘 output clkout; //輸出時鐘 reg [6:0] cnt_p; //cnt_p為上升沿觸發的計數器 reg clk_p; //clk_p為上升沿觸發的分頻時鐘 always @ (posedge clk ) begin if (cnt_p==124) //250分頻,1kHz轉4Hz begin cnt_p<=0; clk_p<=~clk_p; end else cnt_p<=cnt_p+1; end assign clkout = clk_p; endmodule //按鍵消抖 module debounce ( input clk, //時鐘 input [6:0] key, //需要消抖的按鍵 output [6:0] key_pulse //消抖后的按鍵信號 ); reg [6:0] key_rst_pre; //按鍵前一狀態 reg [6:0] key_rst; //按鍵當前狀態 wire [6:0] key_edge; //按鍵上升沿檢測 reg [6:0] key_sec_pre; //按鍵前一電平狀態 reg [6:0] key_sec; //按鍵后一電平狀態 reg [3:0] cnt; //計數 always @(posedge clk ) begin key_rst <= key; key_rst_pre <= key_rst; end assign key_edge = (~key_rst_pre) & key_rst; //檢測上升沿 always @(posedge clk ) begin if(key_edge) cnt <= 4'b0000; else cnt <= cnt + 1'b1; end always @(posedge clk) if (cnt==4'b1010) //延時10ms后檢測電平 key_sec <= key; else key_sec<=key_sec; always @(posedge clk) key_sec_pre <= key_sec; assign key_pulse = (~key_sec_pre) & key_sec; endmodule
總結
以上是生活随笔為你收集整理的【Verilog智能药盒的设计与实现】的全部內容,希望文章能夠幫你解決所遇到的問題。
如果覺得生活随笔網站內容還不錯,歡迎將生活随笔推薦給好友。