pwm 调速 原理
?
PWM調速原理
???? 本文詳細闡述pwm的原理: PWM(Pulse Width Modulation)控制——脈沖寬度調制技術,通過對一系列脈沖的寬度進行調制,來等效地獲得所需要波形(含形狀和幅值)。
PWM控制技術在逆變電路中應用最廣,應用的逆變電路絕大部分是PWM型,PWM控制技術正是有賴于在逆變電路中的應用,才確定了它在電力電子技術中的重要地位。
1.PWM控制的基本原理
理論基礎:
沖量相等而形狀不同的窄脈沖加在具有慣性的環節上時,其效果基本相同。沖量指窄脈沖的面積。效果基本相同,是指環節的輸出響應波形基本相同。低頻段非常接近,僅在高頻段略有差異。
?
?
?
?
?
?
圖1 形狀不同而沖量相同的各種窄脈沖
面積等效原理:
分別將如圖1所示的電壓窄脈沖加在一階慣性環節(R-L電路)上,如圖2a所示。其輸出電流i(t)對不同窄脈沖時的響應波形如圖2b所示。從波形可以看出,在i(t)的上升段,i(t)的形狀也略有不同,但其下降段則幾乎完全相同。脈沖越窄,各i(t)響應波形的差異也越小。如果周期性地施加上述脈沖,則響應i(t)也是周期性的。用傅里葉級數分解后將可看出,各i(t)在低頻段的特性將非常接近,僅在高頻段有所不同。
圖2 沖量相同的各種窄脈沖的響應波形
用一系列等幅不等寬的脈沖來代替一個正弦半波,正弦半波N等分,看成N個相連的脈沖序列,寬度相等,但幅值不等;用矩形脈沖代替,等幅,不等寬,中點重合,面積(沖量)相等,寬度按正弦規律變化。
SPWM波形——脈沖寬度按正弦規律變化而和正弦波等效的PWM波形。
圖3 用PWM波代替正弦半波
要改變等效輸出正弦波幅值,按同一比例改變各脈沖寬度即可。
PWM電流波: 電流型逆變電路進行PWM控制,得到的就是PWM電流波。
PWM波形可等效的各種波形:
直流斬波電路:等效直流波形
SPWM波:等效正弦波形,還可以等效成其他所需波形,如等效所需非正弦交流波形等,其基本原理和SPWM控制相同,也基于等效面積原理。
2. PWM相關概念
占空比:就是輸出的PWM中,高電平保持的時間與 該PWM的時鐘周期的時間 之比
如,一個PWM的頻率是1000Hz,那么它的時鐘周期就是1ms,就是1000us,如果高電平出現的時間是200us,那么低電平的時間肯定是800us,那么占空比就是200:1000,也就是說PWM的占空比就是1:5。
分辨率也就是占空比最小能達到多少,如8位的PWM,理論的分辨率就是1:255(單斜率), 16位的的PWM理論就是1:65535(單斜率)。
頻率就是這樣的,如16位的PWM,它的分辨率達到了1:65535,要達到這個分辨率,T/C就必須從0計數到65535才能達到,如果計數從0計到80之后又從0開始計到80.......,那么它的分辨率最小就是1:80了,但是,它也快了,也就是說PWM的輸出頻率高了。
雙斜率 / 單斜率
假設一個PWM從0計數到80,之后又從0計數到80.......?? 這個就是單斜率。
假設一個PWM從0計數到80,之后是從80計數到0.......?? 這個就是雙斜率。
可見,雙斜率的計數時間多了一倍,所以輸出的PWM頻率就慢了一半,但是分辨率卻是1:(80+80) =1:160,就是提高了一倍。
假設PWM是單斜率,設定最高計數是80,我們再設定一個比較值是10,那么T/C從0計數到10時(這時計數器還是一直往上計數,直到計數到設定值80),單片機就會根據你的設定,控制某個IO口在這個時候是輸出1還是輸出0還是端口取反,這樣,就是PWM的最基本的原理了。
?
?
?
?
?
?
???PWM脈寬調制,是靠改變脈沖寬度來控制輸出電壓,通過改變周期來控制其輸出頻率。而輸出頻率的變化可通過改變此脈沖的調制周期來實現。這樣,使調壓和調頻兩個作用配合一致,且于中間直流環節無關,因而加快了調節速度,改善了動態性能。由于輸出等幅脈沖只需恒定直流電源供電,可用不可控整流器取代相控整流器,使電網側的功率因數大大改善。利用PWM逆變器能夠抑制或消除低次諧波。加上使用自關斷器件,開關頻率大幅度提高,輸出波形可以非常接近正弦波。
PWM變頻電路具有以下特點:
1.????可以得到相當接近正弦波的輸出電壓
2.????整流電路采用二極管,可獲得接近1的功率因數
3.????電路結構簡單
4.????通過對輸出脈沖寬度的控制可改變輸出電壓,加快了變頻過程的動態響應
現在通用變頻器基本都再用PWM控制方式,所以介紹一下PWM控制的原理
?PWM基本原理
脈寬調制(PWM)。控制方式就是對逆變電路開關器件的通斷進行控制,使輸出端得到一系列幅值相等的脈沖,用這些脈沖來代替正弦波或所需要的波形。也就是在輸出波形的半個周期中產生多個脈沖,使各脈沖的等值電壓為正弦波形,所獲得的輸出平滑且低次斜波諧波少。按一定的規則對各脈沖的寬度進行調制,即可改變逆變電路輸出電壓的大小,也可改變輸出頻率。
在采樣控制理論中有一個重要的結論,即沖量相等而形狀不同的窄脈沖加在具有慣性的環節上,其效果基本相同。沖量既指窄脈沖的面積。這里所說的效果基本相同。是指該環節的輸出響應波形基本相同。如把各輸出波形用傅里葉變換分析,則它們的低頻段特性非常接近,僅在高頻段略有差異。
?????????????????????????????????????????????????????????????????????????
根據上面理論我們就可以用不同寬度的矩形波來代替正弦波,通過對矩形波的控制來模擬輸出不同頻率的正弦波。
例如,把正弦半波波形分成N等份,就可把正弦半波看成由N個彼此相連的脈沖所組成的波形。這些脈沖寬度相等,都等于?∏/n?,但幅值不等,且脈沖頂部不是水平直線,而是曲線,各脈沖的幅值按正弦規律變化。如果把上述脈沖序列用同樣數量的等幅而不等寬的矩形脈沖序列代替,使矩形脈沖的中點和相應正弦等分的中點重合,且使矩形脈沖和相應正弦部分面積(即沖量)相等,就得到一組脈沖序列,這就是PWM波形。可以看出,各脈沖寬度是按正弦規律變化的。根據沖量相等效果相同的原理,PWM波形和正弦半波是等效的。對于正弦的負半周,也可以用同樣的方法得到PWM波形。
在PWM波形中,各脈沖的幅值是相等的,要改變等效輸出正弦波的幅值時,只要按同一比例系數改變各脈沖的寬度即可,因此在交-直-交變頻器中,整流電路采用不可控的二極管電路即可,PWM逆變電路輸出的脈沖電壓就是直流側電壓的幅值。
根據上述原理,在給出了正弦波頻率,幅值和半個周期內的脈沖數后,PWM波形各脈沖的寬度和間隔就可以準確計算出來。按照計算結果控制電路中各開關器件的通斷,就可以得到所需要的PWM波形.
?
?
PWM控制電路基本原理與FPGA
時間:2009-05-14 15:38:30 來源:國外電子元器件 作者:
在直流伺服控制系統中,通過專用集成芯片或中小規模的數字集成電路構成的傳統PWM控制電路往往存在電路設計復雜,體積大,抗干擾能力差以及設計困難、設計周期長等缺點因此PWM控制電路的模塊化、集成化已成為發展趨勢.它不僅可以使系統體積減小、重量減輕且功耗降低,同時可使系統的可靠性大大提高.隨著電子技術的發展,特別是專用集成電路(ASIC)設計技術的日趨完善,數字化的電子自動化設計(EDA)工具給電子設計帶來了巨大變革,尤其是硬件描述語言的出現,解決了傳統電路原理圖設計系統工程的諸多不便.針對以上情況,本文給出一種基于復雜可編程邏輯器件(CPLD)的PWM控制電路設計和它的仿真波形.
1 PWM控制電路基本原理
為了實現直流伺服系統的H型單極模式同頻PWM可逆控制,一般需要產生四路驅動信號來實現電機的正反轉切換控制.當PWM控制電路工作時,其中H橋一側的兩路驅動信號的占空比相同但相位相反,同時隨控制信號改變并具有互鎖功能;而另一側上臂為低電平,下臂為高電平.另外,為防止橋路同側對管的導通,還應當配有延時電路.設計的整體模塊見圖1所示.其中,d[7:0]矢量用于為微機提供調節占空比的控制信號,cs為微機提供控制電機正反轉的控制信號,clk為本地晶振頻率,qout[3:0]矢量為四路信號輸出.其內部原理圖如圖2所示.
該設計可得到脈沖周期固定(用軟件設置分頻器I9可改變PWM開關頻率,但一旦設置完畢,則其脈沖周期將固定)、占空比決定于控制信號、分辨力為1/256的PWM信號.I8模塊為脈寬鎖存器,可實現對來自微機的控制信號d[7:0]的鎖存,d[7:0]的向量值用于決定PWM信號的占空比.clk本地晶振在經I9分頻模塊分頻后可為PWM控制電路中I12計數器模塊和I11延時模塊提供內部時鐘.I12計數器在每個脈沖的上升沿到來時加1,當計數器的數值為00H或由0FFH溢出時,它將跳到00H時,cao輸出高電平至I7觸發器模塊的置位端,I7模塊輸出一直保持高電平.當I8鎖存器的值與I12計數器中的計數值相同時,信號將通過I13比較器模塊比較并輸出高電平至I7模塊的復位端,以使I7模塊輸出低電平.當計數器再次溢出時,又重復上述過程.I7為RS觸發器,經過它可得到兩路相位相反的脈寬調制波,并可實現互鎖.I11為延時模塊,可防止橋路同側對管的導通,I10模塊為脈沖分配電路,用于輸出四路滿足設計要求的信號.CS為I10模塊的控制信號,用于控制電機的正反轉.
2 電路設計
本設計采用的是Lattice半導體公司推出的is-plever開發平臺,該開發平臺定位于復雜設計的簡單工具.它采用簡明的設計流程并完整地集成了Leonardo Spectrum的VHDL綜合工具和ispVMTM系統,因此,無須第三方設計工具便可完成整個設計流程.在原理設計方面,本設計采用自頂向下、層次化、模塊化的設計思想,這種設計思想的優點是符合人們先抽象后具體,先整體后局部的思維習慣.其設計出的模塊修改方便,不影響其它模塊,且可重復使用,利用率高.本文僅就原理圖中的I12計數器模塊和I11延遲模塊進行討論.
計數器模塊的VHDL程序設計如下:
entity counter is
port(clk: in std logic;
Q : out std logic vector(7 downto 0);
cao: out std_logic);
end counter;
architecture a_counter of counter is
signal Qs: std_logic_vector(7 downto 0);
signal reset: std_logic;
signal caolock: std_logic;
process(clk,reset)
begin
if(reset=‘1)then
Qs<=“00000000”;
elsif clkevent and clk=‘1 then
Qs<=Qs+‘1;
end if;
end process;
reset<=‘1 when Qs=255 else
‘0;
caolock<=‘1 when Qs=0 else
‘0;
Q<=Qs;
cao<=reset or caolock;
end a_counter;
在原理圖中,延遲模塊必不可少,其功能是對PWM波形的上升沿進行延時,而不影響下降沿,從而確保橋路同側不會發生短路.其模塊的VHDL程序如下:
entity delay is
port(clk: in std_logic;
input: in std_logic_vector(1 downto 0);
output:out std_logic_vector(1 downto 0)
end delay;
architecture a_delay of delay is
signal Q1,Q2,Q3,Q4: std_logic;
begin
process(clk)
begin
if clkevent and clk=‘1 then
Q3<=Q2;
Q2<=Q1;
Q1<=input(1);
end if;
end process;
Q4<=not Q3;
output(1)<=input(1)and Q3;
output(0)<=input(0)and Q4;
end a_delay;
3 結束語
采用可編程邏輯器件和硬件描述語言,同時利用其供應商提供的開發工具可大大縮短數字系統的設計時間,節約新產品的開發成本,另外,還具有設計靈活,集成度高,可靠性好,抗干能力強等特點.本文設計的PWM控制電路用于某光測設備的傳動裝置時,取得了良好的效果.
?
總結
- 上一篇: 戳进来,带你走近飞凌嵌入式旗舰级AIoT
- 下一篇: 中国植入医疗器械行业“十四五”规划研究与