久久精品国产精品国产精品污,男人扒开添女人下部免费视频,一级国产69式性姿势免费视频,夜鲁夜鲁很鲁在线视频 视频,欧美丰满少妇一区二区三区,国产偷国产偷亚洲高清人乐享,中文 在线 日韩 亚洲 欧美,熟妇人妻无乱码中文字幕真矢织江,一区二区三区人妻制服国产

歡迎訪問 生活随笔!

生活随笔

當前位置: 首頁 > 编程资源 > 编程问答 >内容正文

编程问答

modelsim加入xilinx ISE库的方法

發布時間:2025/4/5 编程问答 17 豆豆
生活随笔 收集整理的這篇文章主要介紹了 modelsim加入xilinx ISE库的方法 小編覺得挺不錯的,現在分享給大家,幫大家做個參考.

文章目錄

  • 背景
    • 方法

背景

由于ISE仿真用Isim雖然也行,但是用習慣了modelsim,還是用modelsim方便。為了避免每次都要重復編譯xilinx的庫,可以一次性將所有xilinx的庫編譯后,然后加入modelsim。這樣就可以避免每次重復編譯庫,大量節約了時間。

方法




上圖的兩個空格不用管

指定ISE編譯庫的文件夾位置,我們把它放在modelsim的安裝路徑下面,并新建一個文件夾

然后點擊compile即可

下面我們介紹一下xilinx的help工具,真的非常方便。這些文檔都分好了目錄,比Altera 強太多了,每次一點擊alteral 的help都已經不能訪問了。



上圖是我們編譯成功后的庫。大小為3個G以上,并且我們可以看到這個和vivado的庫還是不一樣的。

如上圖,我們用notepad++打開ini文件。

然后拷貝以下內容到原版modelsim的ini文件中即可,也就是說拷貝這些帶有ISE的路徑到modelsim.ini文件中即可。

顯示成功:



并且我們從ISE調用modelsim中可以看到并沒有生成庫,而是從我們指定的ISE_simlib中調用的。

下面給出更改后的modelsim的ini內容:

; vsim modelsim.ini file, version 10.4 [Version] INIVersion = 10.4; Copyright 1991-2014 Mentor Graphics Corporation ; ; All Rights Reserved. ; ; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF ; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS. ; [Library] std = $MODEL_TECH/../std ieee = $MODEL_TECH/../ieee vital2000 = $MODEL_TECH/../vital2000 ; ; VITAL concerns: ; ; The library ieee contains (among other packages) the packages of the ; VITAL 2000 standard. When a design uses VITAL 2000 exclusively, it should use ; the physical library ieee (recommended), or use the physical library ; vital2000, but not both. The design can use logical library ieee and/or ; vital2000 as long as each of these maps to the same physical library, either ; ieee or vital2000. ; ; A design using the 1995 version of the VITAL packages, whether or not ; it also uses the 2000 version of the VITAL packages, must have logical library ; name ieee mapped to physical library vital1995. (A design cannot use library ; vital1995 directly because some packages in this library use logical name ieee ; when referring to the other packages in the library.) The design source ; should use logical name ieee when referring to any packages there except the ; VITAL 2000 packages. Any VITAL 2000 present in the design must use logical ; name vital2000 (mapped to physical library vital2000) to refer to those ; packages. ; ieee = $MODEL_TECH/../vital1995 ; ; For compatiblity with previous releases, logical library name vital2000 maps ; to library vital2000 (a different library than library ieee, containing the ; same packages). ; A design should not reference VITAL from both the ieee library and the ; vital2000 library because the vital packages are effectively different. ; A design that references both the ieee and vital2000 libraries must have ; both logical names ieee and vital2000 mapped to the same library, either of ; these: ; $MODEL_TECH/../ieee ; $MODEL_TECH/../vital2000 ; verilog = $MODEL_TECH/../verilog std_developerskit = $MODEL_TECH/../std_developerskit synopsys = $MODEL_TECH/../synopsys modelsim_lib = $MODEL_TECH/../modelsim_lib sv_std = $MODEL_TECH/../sv_std mtiAvm = $MODEL_TECH/../avm mtiRnm = $MODEL_TECH/../rnm mtiOvm = $MODEL_TECH/../ovm-2.1.2 mtiUvm = $MODEL_TECH/../uvm-1.1d mtiUPF = $MODEL_TECH/../upf_lib mtiPA = $MODEL_TECH/../pa_lib floatfixlib = $MODEL_TECH/../floatfixlib mc2_lib = $MODEL_TECH/../mc2_lib osvvm = $MODEL_TECH/../osvvm; added mapping for ADMS mgc_ams = $MODEL_TECH/../mgc_ams ieee_env = $MODEL_TECH/../ieee_env;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers // Source files only for this release ;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release ;mvc_lib = $MODEL_TECH/../mvc_lib infact = $MODEL_TECH/../infactaltera_ver = ./verilog_libs/altera_ver lpm_ver = ./verilog_libs/lpm_ver sgate_ver = ./verilog_libs/sgate_ver altera_mf_ver = ./verilog_libs/altera_mf_ver altera_lnsim_ver = ./verilog_libs/altera_lnsim_ver cycloneive_ver = ./verilog_libs/cycloneive_ver work_example = work_example work = rtl_work maxv_ver = ./verilog_libs/maxv_ver xil_defaultlib = msim/xil_defaultlib [DefineOptionset] ; Define optionset entries for the various compilers, vmake, and vsim. ; These option sets can be used with the "-optionset <optionsetname>" syntax. ; i.e. ; vlog -optionset COMPILEDEBUG top.sv ; vsim -optionset UVMDEBUG my_top ; ; Following are some useful examples.; define a vsim optionset for uvm debugging UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop; define a vopt optionset for debugging VOPTDEBUG = +acc -debugdb[vcom] ; VHDL93 variable selects language version as the default. ; Default is VHDL-2002. ; Value of 0 or 1987 for VHDL-1987. ; Value of 1 or 1993 for VHDL-1993. ; Default or value of 2 or 2002 for VHDL-2002. ; Value of 3 or 2008 for VHDL-2008 ; Value of 4 or ams99 for VHDL-AMS-1999 ; Value of 5 or ams07 for VHDL-AMS-2007 VHDL93 = 2002; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. ; ignoreStandardRealVector = 1; Show source line containing error. Default is off. ; Show_source = 1; Turn off unbound-component warnings. Default is on. ; Show_Warning1 = 0; Turn off process-without-a-wait-statement warnings. Default is on. ; Show_Warning2 = 0; Turn off null-range warnings. Default is on. ; Show_Warning3 = 0; Turn off no-space-in-time-literal warnings. Default is on. ; Show_Warning4 = 0; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. ; Show_Warning5 = 0; Turn off optimization for IEEE std_logic_1164 package. Default is on. ; Optimize_1164 = 0; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Turn on resolving of ambiguous function overloading in favor of the ; "explicit" function declaration (not the one automatically created by ; the compiler for each type declaration). Default is off. ; The .ini file has Explicit enabled so that std_logic_signed/unsigned ; will match the behavior of synthesis tools. Explicit = 1; Turn off acceleration of the VITAL packages. Default is to accelerate. ; NoVital = 1; Turn off VITAL compliance checking. Default is checking on. ; NoVitalCheck = 1; Ignore VITAL compliance checking errors. Default is to not ignore. ; IgnoreVitalErrors = 1; Turn off VITAL compliance checking warnings. Default is to show warnings. ; Show_VitalChecksWarnings = 0; Turn off PSL assertion warning messages. Default is to show warnings. ; Show_PslChecksWarnings = 0; Enable parsing of embedded PSL assertions. Default is enabled. ; EmbeddedPsl = 0; Keep silent about case statement static warnings. ; Default is to give a warning. ; NoCaseStaticError = 1; Keep silent about warnings caused by aggregates that are not locally static. ; Default is to give a warning. ; NoOthersStaticError = 1; Treat as errors: ; case statement static warnings ; warnings caused by aggregates that are not locally static ; Overrides NoCaseStaticError, NoOthersStaticError settings. ; PedanticErrors = 1; Turn off inclusion of debugging info within design units. ; Default is to include debugging info. ; NoDebug = 1; Turn off "Loading..." messages. Default is messages on. ; Quiet = 1; Turn on some limited synthesis rule compliance checking. Checks only: ; -- signals used (read) by a process must be in the sensitivity list ; CheckSynthesis = 1; Activate optimizations on expressions that do not involve signals, ; waits, or function/procedure/task invocations. Default is off. ; ScalarOpts = 1; Turns on lint-style checking. ; Show_Lint = 1; Require the user to specify a configuration for all bindings, ; and do not generate a compile time default binding for the ; component. This will result in an elaboration error of ; 'component not bound' if the user fails to do so. Avoids the rare ; issue of a false dependency upon the unused default binding. ; RequireConfigForAllDefaultBinding = 1; Perform default binding at compile time. ; Default is to do default binding at load time. ; BindAtCompile = 1;; Inhibit range checking on subscripts of arrays. Range checking on ; scalars defined with subtypes is inhibited by default. ; NoIndexCheck = 1; Inhibit range checks on all (implicit and explicit) assignments to ; scalar objects defined with subtypes. ; NoRangeCheck = 1; Set the prefix to be honored for synthesis/coverage pragma recognition. ; Default is "". ; AddPragmaPrefix = ""; Ignore synthesis and coverage pragmas with this prefix. ; Default is "". ; IgnorePragmaPrefix = ""; Turn on code coverage in VHDL design units. Default is off. ; Coverage = sbceft; Turn off code coverage in VHDL subprograms. Default is on. ; CoverSub = 0; Automatically exclude VHDL case statement OTHERS choice branches. ; This includes OTHERS choices in selected signal assigment statements. ; Default is to not exclude. ; CoverExcludeDefault = 1; Control compiler and VOPT optimizations that are allowed when ; code coverage is on. Refer to the comment for this in the [vlog] area. ; CoverOpt = 3; Turn on or off clkOpt optimization for code coverage. Default is on. ; CoverClkOpt = 1; Turn on or off clkOpt optimization builtins for code coverage. Default is on. ; CoverClkOptBuiltins = 0; Inform code coverage optimizations to respect VHDL 'H' and 'L' ; values on signals in conditions and expressions, and to not automatically ; convert them to '1' and '0'. Default is to not convert. ; CoverRespectHandL = 0; Increase or decrease the maximum number of rows allowed in a UDP table ; implementing a VHDL condition coverage or expression coverage expression. ; More rows leads to a longer compile time, but more expressions covered. ; CoverMaxUDPRows = 192; Increase or decrease the maximum number of input patterns that are present ; in FEC table. This leads to a longer compile time with more expressions ; covered with FEC metric. ; CoverMaxFECRows = 192; Increase or decrease the limit on the size of expressions and conditions ; considered for expression and condition coverages. Higher FecUdpEffort leads ; to higher compile, optimize and simulation time, but more expressions and ; conditions are considered for coverage in the design. FecUdpEffort can ; be set to a number ranging from 1 (low) to 3 (high), defined as: ; 1 - (low) Only small expressions and conditions considered for coverage. ; 2 - (medium) Bigger expressions and conditions considered for coverage. ; 3 - (high) Very large expressions and conditions considered for coverage. ; The default setting is 1 (low). ; FecUdpEffort = 1; Enable or disable Focused Expression Coverage analysis for conditions and ; expressions. Focused Expression Coverage data is provided by default when ; expression and/or condition coverage is active. ; CoverFEC = 0; Enable or disable UDP Coverage analysis for conditions and expressions. ; UDP Coverage data is disabled by default when expression and/or condition ; coverage is active. ; CoverUDP = 1; Enable or disable Rapid Expression Coverage mode for conditions and expressions. ; Disabling this would convert non-masking conditions in FEC tables to matching ; input patterns. ; CoverREC = 1; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions ; for expression/condition coverage. ; NOTE: Enabling this may have a negative impact on simulation performance. ; CoverExpandReductionPrefix = 0; Enable or disable short circuit evaluation of conditions and expressions when ; condition or expression coverage is active. Short circuit evaluation is enabled ; by default. ; CoverShortCircuit = 0; Enable code coverage reporting of code that has been optimized away. ; The default is not to report. ; CoverReportCancelled = 1; Enable deglitching of code coverage in combinatorial, non-clocked, processes. ; Default is no deglitching. ; CoverDeglitchOn = 1; Control the code coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; CoverDeglitchPeriod = 0; Use this directory for compiler temporary files instead of "work/_temp" ; CompilerTempDir = /tmp; Set this to cause the compilers to force data to be committed to disk ; when the files are closed. ; SyncCompilerFiles = 1; Add VHDL-AMS declarations to package STANDARD ; Default is not to add ; AmsStandard = 1; Range and length checking will be performed on array indices and discrete ; ranges, and when violations are found within subprograms, errors will be ; reported. Default is to issue warnings for violations, because subprograms ; may not be invoked. ; NoDeferSubpgmCheck = 0; Turn ON detection of FSMs having single bit current state variable. ; FsmSingle = 1; Turn off reset state transitions in FSM. ; FsmResetTrans = 0; Turn ON detection of FSM Implicit Transitions. ; FsmImplicitTrans = 1; Controls whether or not to show immediate assertions with constant expressions ; in GUI/report/UCDB etc. By default, immediate assertions with constant ; expressions are shown in GUI/report/UCDB etc. This does not affect ; evaluation of immediate assertions. ; ShowConstantImmediateAsserts = 0; Controls how VHDL basic identifiers are stored with the design unit. ; Does not make the language case-sensitive, affects only how declarations ; declared with basic identifiers have their names stored and printed ; (in the GUI, examine, etc.). ; Default is to preserve the case as originally depicted in the VHDL source. ; Value of 0 indicates to change all basic identifiers to lower case. ; PreserveCase = 0; For Configuration Declarations, controls the effect that USE clauses have ; on visibility inside the configuration items being configured. If 1 ; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, ; extend the visibility of objects made visible through USE clauses into nested ; component configurations. ; OldVHDLConfigurationVisibility = 0; Allows VHDL configuration declarations to be in a different library from ; the corresponding configured entity. Default is to not allow this for ; stricter LRM-compliance. ; SeparateConfigLibrary = 1;; Determine how mode OUT subprogram parameters of type array and record are treated. ; If 0 (the default), then only VHDL 2008 will do this initialization. ; If 1, always initialize the mode OUT parameter to its default value. ; If 2, do not initialize the mode OUT out parameter. ; Note that prior to release 10.1, all language versions did not initialize mode ; OUT array and record type parameters, unless overridden here via this mechanism. ; In release 10.1 and later, only files compiled with VHDL 2008 will cause this ; initialization, unless overridden here. ; InitOutCompositeParam = 0; Generate symbols debugging database in only some special cases to save on ; the number of files in the library. For other design-units, this database is ; generated on-demand in vsim. ; Default is to to generate debugging database for all design-units. ; SmartDbgSym = 1; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[vlog] ; Turn off inclusion of debugging info within design units. ; Default is to include debugging info. ; NoDebug = 1; Turn on `protect compiler directive processing. ; Default is to ignore `protect directives. ; Protect = 1; Turn off "Loading..." messages. Default is messages on. ; Quiet = 1; Turn on Verilog hazard checking (order-dependent accessing of global vars). ; Default is off. ; Hazard = 1; Turn on converting regular Verilog identifiers to uppercase. Allows case ; insensitivity for module names. Default is no conversion. ; UpCase = 1; Activate optimizations on expressions that do not involve signals, ; waits, or function/procedure/task invocations. Default is off. ; ScalarOpts = 1; Turns on lint-style checking. ; Show_Lint = 1; Show source line containing error. Default is off. ; Show_source = 1; Turn on bad option warning. Default is off. ; Show_BadOptionWarning = 1; Revert back to IEEE 1364-1995 syntax, default is 0 (off). ; vlog95compat = 1; Turn off PSL warning messages. Default is to show warnings. ; Show_PslChecksWarnings = 0; Enable parsing of embedded PSL assertions. Default is enabled. ; EmbeddedPsl = 0; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Set the threshold for automatically identifying sparse Verilog memories. ; A memory with depth equal to or more than the sparse memory threshold gets ; marked as sparse automatically, unless specified otherwise in source code ; or by +nosparse commandline option of vlog or vopt. ; The default is 1M. (i.e. memories with depth equal ; to or greater than 1M are marked as sparse) ; SparseMemThreshold = 1048576 ; Set the prefix to be honored for synthesis and coverage pragma recognition. ; Default is "". ; AddPragmaPrefix = ""; Ignore synthesis and coverage pragmas with this prefix. ; Default is "". ; IgnorePragmaPrefix = ""; Set the option to treat all files specified in a vlog invocation as a ; single compilation unit. The default value is set to 0 which will treat ; each file as a separate compilation unit as specified in the P1800 draft standard. ; MultiFileCompilationUnit = 1; Turn on code coverage in Verilog design units. Default is off. ; Coverage = sbceft; Automatically exclude Verilog case statement default branches. ; Default is to not automatically exclude defaults. ; CoverExcludeDefault = 1; Increase or decrease the maximum number of rows allowed in a UDP table ; implementing a VHDL condition coverage or expression coverage expression. ; More rows leads to a longer compile time, but more expressions covered. ; CoverMaxUDPRows = 192; Increase or decrease the maximum number of input patterns that are present ; in FEC table. This leads to a longer compile time with more expressions ; covered with FEC metric. ; CoverMaxFECRows = 192; Increase or decrease the limit on the size of expressions and conditions ; considered for expression and condition coverages. Higher FecUdpEffort leads ; to higher compile, optimize and simulation time, but more expressions and ; conditions are considered for coverage in the design. FecUdpEffort can ; be set to a number ranging from 1 (low) to 3 (high), defined as: ; 1 - (low) Only small expressions and conditions considered for coverage. ; 2 - (medium) Bigger expressions and conditions considered for coverage. ; 3 - (high) Very large expressions and conditions considered for coverage. ; The default setting is 1 (low). ; FecUdpEffort = 1; Enable or disable Focused Expression Coverage analysis for conditions and ; expressions. Focused Expression Coverage data is provided by default when ; expression and/or condition coverage is active. ; CoverFEC = 0; Enable or disable UDP Coverage analysis for conditions and expressions. ; UDP Coverage data is disabled by default when expression and/or condition ; coverage is active. ; CoverUDP = 1; Enable or disable Rapid Expression Coverage mode for conditions and expressions. ; Disabling this would convert non-masking conditions in FEC tables to matching ; input patterns. ; CoverREC = 1; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions ; for expression/condition coverage. ; NOTE: Enabling this may have a negative impact on simulation performance. ; CoverExpandReductionPrefix = 0; Enable or disable short circuit evaluation of conditions and expressions when ; condition or expression coverage is active. Short circuit evaluation is enabled ; by default. ; CoverShortCircuit = 0; Enable deglitching of code coverage in combinatorial, non-clocked, processes. ; Default is no deglitching. ; CoverDeglitchOn = 1; Control the code coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; CoverDeglitchPeriod = 0; Turn on code coverage in VLOG `celldefine modules, modules containing ; specify blocks, and modules included using vlog -v and -y. Default is off. ; CoverCells = 1; Enable code coverage reporting of code that has been optimized away. ; The default is not to report. ; CoverReportCancelled = 1; Control compiler and VOPT optimizations that are allowed when ; code coverage is on. This is a number from 0 to 5, with the following ; meanings (the default is 3): ; 5 -- All allowable optimizations are on. ; 4 -- Turn off removing unreferenced code. ; 3 -- Turn off process, always block and if statement merging. ; 2 -- Turn off expression optimization, converting primitives ; to continuous assignments, VHDL subprogram inlining. ; and VHDL clkOpt (converting FF's to builtins). ; 1 -- Turn off continuous assignment optimizations and clock suppression. ; 0 -- Turn off Verilog module inlining and VHDL arch inlining. ; HOWEVER, if fsm coverage is turned on, optimizations will be forced to ; level 3, with also turning off converting primitives to continuous assigns. ; CoverOpt = 3; Specify the override for the default value of "cross_num_print_missing" ; option for the Cross in Covergroups. If not specified then LRM default ; value of 0 (zero) is used. This is a compile time option. ; SVCrossNumPrintMissingDefault = 0; Setting following to 1 would cause creation of variables which ; would represent the value of Coverpoint expressions. This is used ; in conjunction with "SVCoverpointExprVariablePrefix" option ; in the modelsim.ini ; EnableSVCoverpointExprVariable = 0; Specify the override for the prefix used in forming the variable names ; which represent the Coverpoint expressions. This is used in conjunction with ; "EnableSVCoverpointExprVariable" option of the modelsim.ini ; The default prefix is "expr". ; The variable name is ; variable name => <prefix>_<coverpoint name> ; SVCoverpointExprVariablePrefix = expr; Override for the default value of the SystemVerilog covergroup, ; coverpoint, and cross option.goal (defined to be 100 in the LRM). ; NOTE: It does not override specific assignments in SystemVerilog ; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" ; in the [vsim] section can override this value. ; SVCovergroupGoalDefault = 100; Override for the default value of the SystemVerilog covergroup, ; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) ; NOTE: It does not override specific assignments in SystemVerilog ; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" ; in the [vsim] section can override this value. ; SVCovergroupTypeGoalDefault = 100; Specify the override for the default value of "strobe" option for the ; Covergroup Type. This is a compile time option which forces "strobe" to ; a user specified default value and supersedes SystemVerilog specified ; default value of '0'(zero). NOTE: This can be overriden by a runtime ; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. ; SVCovergroupStrobeDefault = 0; Specify the override for the default value of "per_instance" option for the ; Covergroup variables. This is a compile time option which forces "per_instance" ; to a user specified default value and supersedes SystemVerilog specified ; default value of '0'(zero). ; SVCovergroupPerInstanceDefault = 0; Specify the override for the default value of "get_inst_coverage" option for the ; Covergroup variables. This is a compile time option which forces ; "get_inst_coverage" to a user specified default value and supersedes ; SystemVerilog specified default value of '0'(zero). ; SVCovergroupGetInstCoverageDefault = 0; ; A space separated list of resource libraries that contain precompiled ; packages. The behavior is identical to using the "-L" switch. ; ; LibrarySearchPath = <path/lib> [<path/lib> ...] LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact; The behavior is identical to the "-mixedansiports" switch. Default is off. ; MixedAnsiPorts = 1; Enable SystemVerilog 3.1a $typeof() function. Default is off. ; EnableTypeOf = 1; Only allow lower case pragmas. Default is disabled. ; AcceptLowerCasePragmaOnly = 1; Set the maximum depth permitted for a recursive include file nesting. ; IncludeRecursionDepthMax = 5; Turn ON detection of FSMs having single bit current state variable. ; FsmSingle = 1; Turn off reset state transitions in FSM. ; FsmResetTrans = 0; Turn off detections of FSMs having x-assignment. ; FsmXAssign = 0; Turn ON detection of FSM Implicit Transitions. ; FsmImplicitTrans = 1; List of file suffixes which will be read as SystemVerilog. White space ; in extensions can be specified with a back-slash: "\ ". Back-slashes ; can be specified with two consecutive back-slashes: "\\"; ; SvFileSuffixes = sv svp svh; This setting is the same as the vlog -sv command line switch. ; Enables SystemVerilog features and keywords when true (1). ; When false (0), the rules of IEEE Std 1364-2001 are followed and ; SystemVerilog keywords are ignored. ; Svlog = 0; Prints attribute placed upon SV packages during package import ; when true (1). The attribute will be ignored when this ; entry is false (0). The attribute name is "package_load_message". ; The value of this attribute is a string literal. ; Default is true (1). ; PrintSVPackageLoadingAttribute = 1; Do not show immediate assertions with constant expressions in ; GUI/reports/UCDB etc. By default immediate assertions with constant ; expressions are shown in GUI/reports/UCDB etc. This does not affect ; evaluation of immediate assertions. ; ShowConstantImmediateAsserts = 0; Controls if untyped parameters that are initialized with values greater ; than 2147483647 are mapped to generics of type INTEGER or ignored. ; If mapped to VHDL Integers, values greater than 2147483647 ; are mapped to negative values. ; Default is to map these parameter to generic of type INTEGER ; ForceUnsignedToVHDLInteger = 1; Enable AMS wreal (wired real) extensions. Default is 0. ; WrealType = 1; Controls SystemVerilog Language Extensions. These options enable ; some non-LRM compliant behavior. Valid extensions are: ; "acum", "atpi", "catx", "daoa", "feci", "fin0", "idcl", ; "iddp", "pae", "sccts", "spsl", "stop0", "udm0", and "uslt". ; SvExtensions = uslt,spsl,sccts; Generate symbols debugging database in only some special cases to save on ; the number of files in the library. For other design-units, this database is ; generated on-demand in vsim. ; Default is to to generate debugging database for all design-units. ; SmartDbgSym = 1; Controls how $unit library entries are named. Valid options are: ; "file" (generate name based on the first file on the command line) ; "du" (generate name based on first design unit following an item ; found in $unit scope) ; CUAutoName = file; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[sccom] ; Enable use of SCV include files and library. Default is off. ; UseScv = 1; Add C++ compiler options to the sccom command line by using this variable. ; CppOptions = -g; Use custom C++ compiler located at this path rather than the default path. ; The path should point directly at a compiler executable. ; CppPath = /usr/bin/g++; Specify the compiler version from the list of support GNU compilers. ; examples 4.3.3, 4.5.0 ; CppInstall = 4.5.0; Enable verbose messages from sccom. Default is off. ; SccomVerbose = 1; sccom logfile. Default is no logfile. ; SccomLogfile = sccom.log; Enable use of SC_MS include files and library. Default is off. ; UseScMs = 1; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off. ; Sc22Mode = 1; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[vopt] ; Turn on code coverage in vopt. Default is off. ; Coverage = sbceft; Control compiler optimizations that are allowed when ; code coverage is on. Refer to the comment for this in the [vlog] area. ; CoverOpt = 3; Increase or decrease the maximum number of rows allowed in a UDP table ; implementing a VHDL condition coverage or expression coverage expression. ; More rows leads to a longer compile time, but more expressions covered. ; CoverMaxUDPRows = 192; Increase or decrease the maximum number of input patterns that are present ; in FEC table. This leads to a longer compile time with more expressions ; covered with FEC metric. ; CoverMaxFECRows = 192; Increase or decrease the limit on the size of expressions and conditions ; considered for expression and condition coverages. Higher FecUdpEffort leads ; to higher compile, optimize and simulation time, but more expressions and ; conditions are considered for coverage in the design. FecUdpEffort can ; be set to a number ranging from 1 (low) to 3 (high), defined as: ; 1 - (low) Only small expressions and conditions considered for coverage. ; 2 - (medium) Bigger expressions and conditions considered for coverage. ; 3 - (high) Very large expressions and conditions considered for coverage. ; The default setting is 1 (low). ; FecUdpEffort = 1; Enable code coverage reporting of code that has been optimized away. ; The default is not to report. ; CoverReportCancelled = 1; Enable deglitching of code coverage in combinatorial, non-clocked, processes. ; Default is no deglitching. ; CoverDeglitchOn = 1; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Control the code coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; CoverDeglitchPeriod = 0; Do not show immediate assertions with constant expressions in ; GUI/reports/UCDB etc. By default immediate assertions with constant ; expressions are shown in GUI/reports/UCDB etc. This does not affect ; evaluation of immediate assertions. ; ShowConstantImmediateAsserts = 0; Set the maximum number of iterations permitted for a generate loop. ; Restricting this permits the implementation to recognize infinite ; generate loops. ; GenerateLoopIterationMax = 100000; Set the maximum depth permitted for a recursive generate instantiation. ; Restricting this permits the implementation to recognize infinite ; recursions. ; GenerateRecursionDepthMax = 200; Set the number of processes created during the code generation phase. ; By default a heuristic is used to set this value. This may be set to 0 ; to disable this feature completely. ; ParallelJobs = 0 ; Controls SystemVerilog Language Extensions. These options enable ; some non-LRM compliant behavior. Valid extensions are "feci", ; "pae", "uslt", "spsl", "fin0" and "sccts". ; SvExtensions = uslt,spsl,sccts; Load the specified shared objects with the RTLD_GLOBAL flag. ; This gives global visibility to all symbols in the shared objects, ; meaning that subsequently loaded shared objects can bind to symbols ; in the global shared objects. The list of shared objects should ; be whitespace delimited. This option is not supported on the ; Windows or AIX platforms. ; GlobalSharedObjectList = example1.so example2.so example3.so; Disable SystemVerilog elaboration system task messages ; IgnoreSVAInfo = 1 ; IgnoreSVAWarning = 1 ; IgnoreSVAError = 1 ; IgnoreSVAFatal = 1; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[vsim] ; vopt flow ; Set to turn on automatic optimization of a design. ; Default is on VoptFlow = 1; Simulator resolution ; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. Resolution = ns; Disable certain code coverage exclusions automatically. ; Assertions and FSM are exluded from the code coverage by default ; Set AutoExclusionsDisable = fsm to enable code coverage for fsm ; Set AutoExclusionsDisable = assertions to enable code coverage for assertions ; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions ; Or specify comma or space separated list ;AutoExclusionsDisable = fsm,assertions; User time unit for run commands ; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the ; unit specified for Resolution. For example, if Resolution is 100ps, ; then UserTimeUnit defaults to ps. ; Should generally be set to default. UserTimeUnit = default; Default run length RunLength = 100; Maximum iterations that can be run without advancing simulation time IterationLimit = 20000; Specify libraries to be searched for precompiled modules ; LibrarySearchPath = <path/lib> [<path/lib> ...]; Set XPROP assertion fail limit. Default is 5. ; Any positive integer, -1 for infinity. ; XpropAssertionLimit = 5; Control PSL and Verilog Assume directives during simulation ; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts ; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts ; SimulateAssumeDirectives = 1 ; Control the simulation of PSL and SVA ; These switches can be overridden by the vsim command line switches: ; -psl, -nopsl, -sva, -nosva. ; Set SimulatePSL = 0 to disable PSL simulation ; Set SimulatePSL = 1 to enable PSL simulation (default) ; SimulatePSL = 1 ; Set SimulateSVA = 0 to disable SVA simulation ; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) ; SimulateSVA = 1 ; Control SVA and VHDL immediate assertion directives during simulation ; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts ; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts ; SimulateImmedAsserts = 1 ; License feature mappings for Verilog and VHDL ; qhsimvh Single language VHDL license ; qhsimvl Single language Verilog license ; msimhdlsim Language neutral license for either Verilog or VHDL ; msimhdlmix Second language only, language neutral license for either ; Verilog or VHDL ; ; Directives to license manager can be set either as single value or as ; space separated multi-values: ; vhdl Immediately checkout and hold a VHDL license (i.e., one of ; qhsimvh, msimhdlsim, or msimhdlmix) ; vlog Immediately checkout and hold a Verilog license (i.e., one of ; qhsimvl, msimhdlsim, or msimhdlmix) ; plus Immediately checkout and hold a VHDL license and a Verilog license ; noqueue Do not wait in the license queue when a license is not available ; viewsim Try for viewer license but accept simulator license(s) instead ; of queuing for viewer license (PE ONLY) ; noviewer Disable checkout of msimviewer license feature (PE ONLY) ; noslvhdl Disable checkout of qhsimvh license feature ; noslvlog Disable checkout of qhsimvl license feature ; nomix Disable checkout of msimhdlmix license feature ; nolnl Disable checkout of msimhdlsim license feature ; mixedonly Disable checkout of qhsimvh and qhsimvl license features ; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features ; ; Examples (remove ";" comment character to activate licensing directives): ; Single directive: ; License = plus ; Multi-directive (Note: space delimited directives): ; License = noqueue plus; Severity level of a VHDL assertion message or of a SystemVerilog severity system task ; which will cause a running simulation to stop. ; VHDL assertions and SystemVerilog severity system task that occur with the ; given severity or higher will cause a running simulation to stop. ; This value is ignored during elaboration. ; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal BreakOnAssertion = 3; Severity level of a tool message which will cause a running simulation to ; stop. This value is ignored during elaboration. Default is to not break. ; 0 = Note 1 = Warning 2 = Error 3 = Fatal ;BreakOnMessage = 2; The class debug feature enables more visibility and tracking of class instances ; during simulation. By default this feature is disabled (0). To enable this ; feature set ClassDebug to 1. ; ClassDebug = 1; Message Format conversion specifications: ; %S - Severity Level of message/assertion ; %R - Text of message ; %T - Time of message ; %D - Delta value (iteration number) of Time ; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected ; %i - Instance/Region/Signal pathname with Process name (if available) ; %I - shorthand for one of these: ; " %K: %i" ; " %K: %i File: %F" (when path is not Process or Signal) ; except that the %i in this case does not report the Process name ; %O - Process name ; %P - Instance/Region path without leaf process ; %F - File name ; %L - Line number; if assertion message, then line number of assertion or, if ; assertion is in a subprogram, line from which the call is made ; %u - Design unit name in form library.primary ; %U - Design unit name in form library.primary(secondary) ; %% - The '%' character itself ; ; If specific format for Severity Level is defined, use that format. ; Else, for a message that occurs during elaboration: ; -- Failure/Fatal message in VHDL region that is not a Process, and in ; certain non-VHDL regions, uses MessageFormatBreakLine; ; -- Failure/Fatal message otherwise uses MessageFormatBreak; ; -- Note/Warning/Error message uses MessageFormat. ; Else, for a message that occurs during runtime and triggers a breakpoint because ; of the BreakOnAssertion setting: ; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; ; -- otherwise uses MessageFormatBreak. ; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. ; ; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" ; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" ; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" ; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"; Error File - alternate file for storing error messages ; ErrorFile = error.log; Simulation Breakpoint messages ; This flag controls the display of function names when reporting the location ; where the simulator stops because of a breakpoint or fatal error. ; Example with function name: # Break in Process ctr at counter.vhd line 44 ; Example without function name: # Break at counter.vhd line 44 ; Default value is 1. ShowFunctions = 1; Default radix for all windows and commands. ; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned ; Flags may be one of: enumnumeric, showbase DefaultRadix = hexadecimal DefaultRadixFlags = showbase ; Set to 1 for make the signal_force VHDL and Verilog functions use the ; default radix when processing the force value. Prior to 10.2 signal_force ; used the default radix, now it always uses symbolic unless value explicitly indicates base ;SignalForceFunctionUseDefaultRadix = 0; VSIM Startup command ; Startup = do startup.do; VSIM Shutdown file ; Filename to save u/i formats and configurations. ; ShutdownFile = restart.do ; To explicitly disable auto save: ; ShutdownFile = --disable-auto-save; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified. ; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0. ; BatchMode = 1; File for saving command transcript when -batch option used ; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero ; default is unset so command transcript only goes to stdout for better performance ; BatchTranscriptFile = transcript; File for saving command transcript, this option is ignored when -batch option is used TranscriptFile = transcript; File for saving command history ; CommandHistory = cmdhist.log; Specify whether paths in simulator commands should be described ; in VHDL or Verilog format. ; For VHDL, PathSeparator = / ; For Verilog, PathSeparator = . ; Must not be the same character as DatasetSeparator. PathSeparator = /; Specify the dataset separator for fully rooted contexts. ; The default is ':'. For example: sim:/top ; Must not be the same character as PathSeparator. DatasetSeparator = :; Specify a unique path separator for the Signal Spy set of functions. ; The default will be to use the PathSeparator variable. ; Must not be the same character as DatasetSeparator. ; SignalSpyPathSeparator = /; Used to control parsing of HDL identifiers input to the tool. ; This includes CLI commands, vsim/vopt/vlog/vcom options, ; string arguments to FLI/VPI/DPI calls, etc. ; If set to 1, accept either Verilog escaped Id syntax or ; VHDL extended id syntax, regardless of source language. ; If set to 0, the syntax of the source language must be used. ; Each identifier in a hierarchical name may need different syntax, ; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or ; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" ; GenerousIdentifierParsing = 1; Disable VHDL assertion messages ; IgnoreNote = 1 ; IgnoreWarning = 1 ; IgnoreError = 1 ; IgnoreFailure = 1; Disable SystemVerilog assertion messages ; IgnoreSVAInfo = 1 ; IgnoreSVAWarning = 1 ; IgnoreSVAError = 1 ; IgnoreSVAFatal = 1; Do not print any additional information from Severity System tasks. ; Only the message provided by the user is printed along with severity ; information. ; SVAPrintOnlyUserMessage = 1;; Default force kind. May be freeze, drive, deposit, or default ; or in other terms, fixed, wired, or charged. ; A value of "default" will use the signal kind to determine the ; force kind, drive for resolved signals, freeze for unresolved signals ; DefaultForceKind = freeze; Control the iteration of events when a VHDL signal is forced to a value ; This flag can be set to honour the signal update event in next iteration, ; the default is to update and propagate in the same iteration. ; ForceSigNextIter = 1; Enable simulation statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb,eor] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; If zero, open files when elaborated; otherwise, open files on ; first read or write. Default is 0. ; DelayFileOpen = 1; Control VHDL files opened for write. ; 0 = Buffered, 1 = Unbuffered UnbufferedOutput = 0; Control the number of VHDL files open concurrently. ; This number should always be less than the current ulimit ; setting for max file descriptors. ; 0 = unlimited ConcurrentFileLimit = 40; If nonzero, close files as soon as there is either an explicit call to ; file_close, or when the file variable's scope is closed. When zero, a ; file opened in append mode is not closed in case it is immediately ; reopened in append mode; otherwise, the file will be closed at the ; point it is reopened. ; AppendClose = 1; Control the number of hierarchical regions displayed as ; part of a signal name shown in the Wave window. ; A value of zero tells VSIM to display the full name. ; The default is 0. ; WaveSignalNameWidth = 0; Turn off warnings when changing VHDL constants and generics ; Default is 1 to generate warning messages ; WarnConstantChange = 0; Turn off warnings from accelerated versions of the std_logic_arith, ; std_logic_unsigned, and std_logic_signed packages. ; StdArithNoWarnings = 1; Turn off warnings from accelerated versions of the IEEE numeric_std ; and numeric_bit packages. ; NumericStdNoWarnings = 1; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names ; in the design hierarchy. ; This style is controlled by the value of the GenerateFormat ; value described next. Default is to use new-style names, which ; comprise the generate statement label, '(', the value of the generate ; parameter, and a closing ')'. ; Set this to 1 to use old-style names. ; OldVhdlForGenNames = 1; Control the format of the old-style VHDL FOR generate statement region ; name for each iteration. Do not quote the value. ; The format string here must contain the conversion codes %s and %d, ; in that order, and no other conversion codes. The %s represents ; the generate statement label; the %d represents the generate parameter value ; at a particular iteration (this is the position number if the generate parameter ; is of an enumeration type). Embedded whitespace is allowed (but discouraged); ; leading and trailing whitespace is ignored. ; Application of the format must result in a unique region name over all ; loop iterations for a particular immediately enclosing scope so that name ; lookup can function properly. The default is %s__%d. ; GenerateFormat = %s__%d; Enable more efficient logging of VHDL Variables. ; Logging VHDL variables without this enabled, while possible, is very ; inefficient. Enabling this will provide a more efficient logging methodology ; at the expense of more memory usage. By default this feature is disabled (0). ; To enabled this feature, set this variable to 1. ; VhdlVariableLogging = 1; Enable logging of VHDL access type variables and their designated objects. ; This setting will allow both variables of an access type ("access variables") ; and their designated objects ("access objects") to be logged. Logging a ; variable of an access type will automatically also cause the designated ; object(s) of that variable to be logged as the simulation progresses. ; Further, enabling this allows access objects to be logged by name. By default ; this feature is disabled (0). To enable this feature, set this variable to 1. ; Enabling this will automatically enable the VhdlVariableLogging feature also. ; AccessObjDebug = 1; Make each VHDL package in a PDU has its own separate copy of the package instead ; of sharing the package between PDUs. The default is to share packages. ; To ensure that each PDU has its own set of packages, set this variable to 1. ; VhdlSeparatePduPackage = 1; Specify whether checkpoint files should be compressed. ; The default is 1 (compressed). ; CheckpointCompressMode = 0; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. ; Use custom gcc compiler located at this path rather than the default path. ; The path should point directly at a compiler executable. ; DpiCppPath = <your-gcc-installation>/bin/gcc; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. ; The term "out-of-the-blue" refers to SystemVerilog export function calls ; made from C functions that don't have the proper context setup ; (as is the case when running under "DPI-C" import functions). ; When this is enabled, one can call a DPI export function ; (but not task) from any C code. ; the setting of this variable can be one of the following values: ; 0 : dpioutoftheblue call is disabled (default) ; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. ; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. ; DpiOutOfTheBlue = 1; Specify whether continuous assignments are run before other normal priority ; processes scheduled in the same iteration. This event ordering minimizes race ; differences between optimized and non-optimized designs, and is the default ; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set ; ImmediateContinuousAssign to 0. ; The default is 1 (enabled). ; ImmediateContinuousAssign = 0; List of dynamically loaded objects for Verilog PLI applications ; Veriuser = veriuser.sl; Which default VPI object model should the tool conform to? ; The 1364 modes are Verilog-only, for backwards compatibility with older ; libraries, and SystemVerilog objects are not available in these modes. ; ; In the absence of a user-specified default, the tool default is the ; latest available LRM behavior. ; Options for PliCompatDefault are: ; VPI_COMPATIBILITY_VERSION_1364v1995 ; VPI_COMPATIBILITY_VERSION_1364v2001 ; VPI_COMPATIBILITY_VERSION_1364v2005 ; VPI_COMPATIBILITY_VERSION_1800v2005 ; VPI_COMPATIBILITY_VERSION_1800v2008 ; ; Synonyms for each string are also recognized: ; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) ; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) ; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) ; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) ; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008); PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005; Specify whether the Verilog system task $fopen or vpi_mcd_open() ; will create directories that do not exist when opening the file ; in "a" or "w" mode. ; The default is 0 (do not create non-existent directories) ; CreateDirForFileAccess = 1; Specify default options for the restart command. Options can be one ; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions ; DefaultRestartOptions = -force; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. ; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. ; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". ; The list of options must be delimited by commas, without spaces or tabs. ; The default is UVMControl = struct; Some examples ; To turn on all available UVM-aware debug features: ; UVMControl = all ; To turn on the struct window, mesage logging, and transaction logging: ; UVMControl = struct,msglog,trlog ; To turn on all options except certe: ; UVMControl = all,-certe ; To completely disable all UVM-aware debug functionality: ; UVMControl = disable; Specify the WildcardFilter setting. ; A space separated list of object types to be excluded when performing ; wildcard matches with log, wave, etc commands. The default value for this variable is: ; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" ; See "Using the WildcardFilter Preference Variable" in the documentation for ; details on how to use this variable and for descriptions of the filter types. WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile; Specify the WildcardSizeThreshold setting. ; This integer setting specifies the size at which objects will be excluded when ; performing wildcard matches with log, wave, etc commands. Objects of size equal ; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard ; matches. The size is a simple calculation of number of bits or items in the object. ; The default value is 8k (8192). Setting this value to 0 will disable the checking ; of object size against this threshold and allow all objects of any size to be logged. WildcardSizeThreshold = 8192; Specify whether warning messages are output when objects are filtered out due to the ; WildcardSizeThreshold. The default is 0 (no messages generated). WildcardSizeThresholdVerbose = 0; Turn on (1) or off (0) WLF file compression. ; The default is 1 (compress WLF file). ; WLFCompress = 0; Specify whether to save all design hierarchy (1) in the WLF file ; or only regions containing logged signals (0). ; The default is 0 (save only regions with logged signals). ; WLFSaveAllRegions = 1; WLF file time limit. Limit WLF file by time, as closely as possible, ; to the specified amount of simulation time. When the limit is exceeded ; the earliest times get truncated from the file. ; If both time and size limits are specified the most restrictive is used. ; UserTimeUnits are used if time units are not specified. ; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} ; WLFTimeLimit = 0; WLF file size limit. Limit WLF file size, as closely as possible, ; to the specified number of megabytes. If both time and size limits ; are specified then the most restrictive is used. ; The default is 0 (no limit). ; WLFSizeLimit = 1000; Specify whether or not a WLF file should be deleted when the ; simulation ends. A value of 1 will cause the WLF file to be deleted. ; The default is 0 (do not delete WLF file when simulation ends). ; WLFDeleteOnQuit = 1; Specify whether or not a WLF file should be optimized during ; simulation. If set to 0, the WLF file will not be optimized. ; The default is 1, optimize the WLF file. ; WLFOptimize = 0; Specify the name of the WLF file. ; The default is vsim.wlf ; WLFFilename = vsim.wlf; Specify whether to lock the WLF file. ; Locking the file prevents other invocations of ModelSim/Questa tools from ; inadvertently overwriting the WLF file. ; The default is 1, lock the WLF file. ; WLFFileLock = 0; Specify the update interval for the WLF file in live simulation. ; The interval is given in seconds. ; The value is the smallest interval between WLF file updates. The WLF file ; will be flushed (updated) after (at least) the interval has elapsed, ensuring ; that the data is correct when viewed from a separate viewer. ; A value of 0 means that no updating will occur. ; The default value is 10 seconds. ; WLFUpdateInterval = 10; Specify the WLF cache size limit for WLF files. ; The value is given in megabytes. A value of 0 turns off the cache. ; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes). ; On Windows, the default value is 1000 (megabytes) to help to avoid filling ; process memory. ; WLFSimCacheSize allows a different cache size to be set for a live simulation ; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize ; is not set, it defaults to the WLFCacheSize value. ; WLFCacheSize = 2000 ; WLFSimCacheSize = 500; Specify the WLF file event collapse mode. ; 0 = Preserve all events and event order. (same as -wlfnocollapse) ; 1 = Only record values of logged objects at the end of a simulator iteration. ; (same as -wlfcollapsedelta) ; 2 = Only record values of logged objects at the end of a simulator time step. ; (same as -wlfcollapsetime) ; The default is 1. ; WLFCollapseMode = 0; Specify whether WLF file logging can use threads on multi-processor machines. ; If 0, no threads will be used; if 1, threads will be used if the system has ; more than one processor. ; WLFUseThreads = 1; Specify the size of objects that will trigger "large object" messages ; at log/wave/list time. The size calculation of the object is the same as that ; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. ; Setting LargeObjectSize to 0 will disable these messages. ; LargeObjectSize = 500000; Specify the depth of stack frames returned by $stacktrace([level]). ; This depth will be picked up when the optional 'level' argument ; is not specified or its value is not a positive integer. ; StackTraceDepth = 100; Turn on/off undebuggable SystemC type warnings. Default is on. ; ShowUndebuggableScTypeWarning = 0; Turn on/off unassociated SystemC name warnings. Default is off. ; ShowUnassociatedScNameWarning = 1; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. ; ScShowIeeeDeprecationWarnings = 1; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. ; ScEnableScSignalWriteCheck = 1; Set SystemC default time unit. ; Set to fs, ps, ns, us, ms, or sec with optional ; prefix of 1, 10, or 100. The default is 1 ns. ; The ScTimeUnit value is honored if it is coarser than Resolution. ; If ScTimeUnit is finer than Resolution, it is set to the value ; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, ; then the default time unit will be 1 ns. However if Resolution ; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. ScTimeUnit = ns; Set SystemC sc_main stack size. The stack size is set as an integer ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or ; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends ; on the amount of data on the sc_main() stack and the memory required ; to succesfully execute the longest function call chain of sc_main(). ScMainStackSize = 10 Mb; Set SystemC thread stack size. The stack size is set as an integer ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or ; Gb(Giga-byte). The stack size for sc_thread depends ; on the amount of data on the sc_thread stack and the memory required ; to succesfully execute the thread. ; ScStackSize = 1 Mb; Turn on/off execution of remainder of sc_main upon quitting the current ; simulation session. If the cumulative length of sc_main() in terms of ; simulation time units is less than the length of the current simulation ; run upon quit or restart, sc_main() will be in the middle of execution. ; This switch gives the option to execute the remainder of sc_main upon ; quitting simulation. The drawback of not running sc_main till the end ; is memory leaks for objects created by sc_main. If on, the remainder of ; sc_main will be executed ignoring all delays. This may cause the simulator ; to crash if the code in sc_main is dependent on some simulation state. ; Default is on. ScMainFinishOnQuit = 1; Set the SCV relationship name that will be used to identify phase ; relations. If the name given to a transactor relation matches this ; name, the transactions involved will be treated as phase transactions ScvPhaseRelationName = mti_phase; Customize the vsim kernel shutdown behavior at the end of the simulation. ; Some common causes of the end of simulation are $finish (implicit or explicit), ; sc_stop(), tf_dofinish(), and assertion failures. ; This should be set to "ask", "exit", or "stop". The default is "ask". ; "ask" -- In batch mode, the vsim kernel will abruptly exit. ; In GUI mode, a dialog box will pop up and ask for user confirmation ; whether or not to quit the simulation. ; "stop" -- Cause the simulation to stay loaded in memory. This can make some ; post-simulation tasks easier. ; "exit" -- The simulation will abruptly exit without asking for any confirmation. ; "final" -- Run SystemVerilog final blocks then behave as "stop". ; Note: This variable can be overridden with the vsim "-onfinish" command line switch. OnFinish = ask; Print pending deferred assertion messages. ; Deferred assertion messages may be scheduled after the $finish in the same ; time step. Deferred assertions scheduled to print after the $finish are ; printed before exiting with severity level NOTE since it's not known whether ; the assertion is still valid due to being printed in the active region ; instead of the reactive region where they are normally printed. ; OnFinishPendingAssert = 1;; Print "simstats" result. Default is 0. ; 0 == do not print simstats ; 1 == print at end of simulation ; 2 == print at end of each run command and end of simulation ; PrintSimStats = 1; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages ; AssertFile = assert.log; Enable assertion counts. Default is off. ; AssertionCover = 1; Run simulator in assertion debug mode. Default is off. ; AssertionDebug = 1; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. ; AssertionEnable = 0; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. ; Any positive integer, -1 for infinity. ; AssertionLimit = 1; Turn on/off concurrent assertion pass log. Default is off. ; Assertion pass logging is only enabled when assertion is browseable ; and assertion debug is enabled. ; AssertionPassLog = 1; Turn on/off PSL concurrent assertion fail log. Default is on. ; The flag does not affect SVA ; AssertionFailLog = 0; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. ; AssertionFailLocalVarLog = 0; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. ; 0 = Continue 1 = Break 2 = Exit ; AssertionFailAction = 1; Enable the active thread monitor in the waveform display when assertion debug is enabled. ; AssertionActiveThreadMonitor = 1; Control how many waveform rows will be used for displaying the active threads. Default is 5. ; AssertionActiveThreadMonitorLimit = 5; Assertion thread limit after which assertion would be killed/switched off. ; The default is -1 (unlimited). If the number of threads for an assertion go ; beyond this limit, the assertion would be either switched off or killed. This ; limit applies to only assert directives. ;AssertionThreadLimit = -1; Action to be taken once the assertion thread limit is reached. Default ; is kill. It can have a value of off or kill. In case of kill, all the existing ; threads are terminated and no new attempts are started. In case of off, the ; existing attempts keep on evaluating but no new attempts are started. This ; variable applies to only assert directives. ;AssertionThreadLimitAction = kill; Cover thread limit after which cover would be killed/switched off. ; The default is -1 (unlimited). If the number of threads for a cover go ; beyond this limit, the cover would be either switched off or killed. This ; limit applies to only cover directives. ;CoverThreadLimit = -1; Action to be taken once the cover thread limit is reached. Default ; is kill. It can have a value of off or kill. In case of kill, all the existing ; threads are terminated and no new attempts are started. In case of off, the ; existing attempts keep on evaluating but no new attempts are started. This ; variable applies to only cover directives. ;CoverThreadLimitAction = kill; By default immediate assertions do not participate in Assertion Coverage calculations ; unless they are executed. This switch causes all immediate assertions in the design ; to participate in Assertion Coverage calculations, whether attempted or not. ; UnattemptedImmediateAssertions = 0; By default immediate covers participate in Coverage calculations ; whether they are attempted or not. This switch causes all unattempted ; immediate covers in the design to stop participating in Coverage ; calculations. ; UnattemptedImmediateCovers = 0; By default pass action block is not executed for assertions on vacuous ; success. The following variable is provided to enable execution of ; pass action block on vacuous success. The following variable is only effective ; if the user does not disable pass action block execution by using either ; system tasks or CLI. Also there is a performance penalty for enabling ; the following variable. ;AssertionEnableVacuousPassActionBlock = 1; As per strict 1850-2005 PSL LRM, an always property can either pass ; or fail. However, by default, Questa reports multiple passes and ; multiple fails on top always/never property (always/never operator ; is the top operator under Verification Directive). The reason ; being that Questa reports passes and fails on per attempt of the ; top always/never property. Use the following flag to instruct ; Questa to strictly follow LRM. With this flag, all assert/never ; directives will start an attempt once at start of simulation. ; The attempt can either fail, match or match vacuously. ; For e.g. if always is the top operator under assert, the always will ; keep on checking the property at every clock. If the property under ; always fails, the directive will be considered failed and no more ; checking will be done for that directive. A top always property, ; if it does not fail, will show a pass at end of simulation. ; The default value is '0' (i.e. zero is off). For example: ; PslOneAttempt = 1; Specify the number of clock ticks to represent infinite clock ticks. ; This affects eventually!, until! and until_!. If at End of Simulation ; (EOS) an active strong-property has not clocked this number of ; clock ticks then neither pass or fail (vacuous match) is returned ; else respective fail/pass is returned. The default value is '0' (zero) ; which effectively does not check for clock tick condition. For example: ; PslInfinityThreshold = 5000; Control how many thread start times will be preserved for ATV viewing for a given assertion ; instance. Default is -1 (ALL). ; ATVStartTimeKeepCount = -1; Turn on/off code coverage ; CodeCoverage = 0; This option applies to condition and expression coverage UDP tables. It ; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. ; If this option is used and a match occurs in more than one row in the UDP table, ; none of the counts for all matching rows is incremented. By default, counts are ; incremented for all matching rows. ; CoverCountAll = 1; Turn off automatic inclusion of VHDL integers in toggle coverage. Default ; is to include them. ; ToggleNoIntegers = 1; Set the maximum number of values that are collected for toggle coverage of ; VHDL integers. Default is 100; ; ToggleMaxIntValues = 100; Set the maximum number of values that are collected for toggle coverage of ; Verilog real. Default is 100; ; ToggleMaxRealValues = 100; Turn on automatic inclusion of Verilog integers in toggle coverage, except ; for enumeration types. Default is to include them. ; ToggleVlogIntegers = 0; Turn on automatic inclusion of Verilog real type in toggle coverage, except ; for shortreal types. Default is to not include them. ; ToggleVlogReal = 1; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays ; and VHDL arrays-of-arrays in toggle coverage. ; Default is to not include them. ; ToggleFixedSizeArray = 1; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, ; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. ; This leads to a longer simulation time with bigger arrays covered with toggle coverage. ; Default is 1024. ; ToggleMaxFixedSizeArray = 1024; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized ; one-dimensional packed vectors for toggle coverage. Default is 0. ; TogglePackedAsVec = 0; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for ; toggle coverage. Default is 0. ; ToggleVlogEnumBits = 0; Turn off automatic inclusion of VHDL records in toggle coverage. ; Default is to include them. ; ToggleVHDLRecords = 0; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. ; For unlimited width, set to 0. ; ToggleWidthLimit = 128; Limit the counts that are tracked for toggle coverage. When all edges for a bit have ; reached this count, further activity on the bit is ignored. Default is 1. ; For unlimited counts, set to 0. ; ToggleCountLimit = 1; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. ; Following is the toggle coverage calculation criteria based on extended toggle mode: ; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). ; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. ; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. ; ExtendedToggleMode = 3; Enable toggle statistics collection only for ports. Default is 0. ; TogglePortsOnly = 1; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has ; reached this count, further tracking of the input patterns linked to it is ignored. ; Default is 1. For unlimited counts, set to 0. ; NOTE: Changing this value from its default value may affect simulation performance. ; FecCountLimit = 1; Limit the counts that are tracked for UDP Coverage. When a bin has ; reached this count, further tracking of the input patterns linked to it is ignored. ; Default is 1. For unlimited counts, set to 0. ; NOTE: Changing this value from its default value may affect simulation performance. ; UdpCountLimit = 1; Control toggle coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either ; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; ToggleDeglitchPeriod = 10.0ps; Turn on/off all PSL/SVA cover directive enables. Default is on. ; CoverEnable = 0; Turn on/off PSL/SVA cover log. Default is off "0". ; CoverLog = 1; Set "at_least" value for all PSL/SVA cover directives. Default is 1. ; CoverAtLeast = 2; Set "limit" value for all PSL/SVA cover directives. Default is -1. ; Any positive integer, -1 for infinity. ; CoverLimit = 1; Specify the coverage database filename. ; Default is "" (i.e. database is NOT automatically saved on close). ; UCDBFilename = vsim.ucdb; Specify the maximum limit for the number of Cross (bin) products reported ; in XML and UCDB report against a Cross. A warning is issued if the limit ; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this ; setting. ; MaxReportRhsSVCrossProducts = 1000; Specify the override for the "auto_bin_max" option for the Covergroups. ; If not specified then value from Covergroup "option" is used. ; SVCoverpointAutoBinMax = 64; Specify the override for the value of "cross_num_print_missing" ; option for the Cross in Covergroups. If not specified then value ; specified in the "option.cross_num_print_missing" is used. This ; is a runtime option. NOTE: This overrides any "cross_num_print_missing" ; value specified by user in source file and any SVCrossNumPrintMissingDefault ; specified in modelsim.ini. ; SVCrossNumPrintMissing = 0; Specify whether to use the value of "cross_num_print_missing" ; option in report and GUI for the Cross in Covergroups. If not specified then ; cross_num_print_missing is ignored for creating reports and displaying ; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". ; UseSVCrossNumPrintMissing = 0; Specify the threshold of Coverpoint wildcard bin value range size, above which ; a warning will be triggered. The default is 4K -- 12 wildcard bits. ; SVCoverpointWildCardBinValueSizeWarn = 4096; Specify the override for the value of "strobe" option for the ; Covergroup Type. If not specified then value in "type_option.strobe" ; will be used. This is runtime option which forces "strobe" to ; user specified value and supersedes user specified values in the ; SystemVerilog Code. NOTE: This also overrides the compile time ; default value override specified using "SVCovergroupStrobeDefault" ; SVCovergroupStrobe = 0; Override for explicit assignments in source code to "option.goal" of ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the ; default value of "option.goal" (defined to be 100 in the SystemVerilog ; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". ; SVCovergroupGoal = 100; Override for explicit assignments in source code to "type_option.goal" of ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the ; default value of "type_option.goal" (defined to be 100 in the SystemVerilog ; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". ; SVCovergroupTypeGoal = 100; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() ; builtin functions, and report. This setting changes the default values of ; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 ; behavior if explicit assignments are not made on option.get_inst_coverage and ; type_option.merge_instances by the user. There are two vsim command line ; options, -cvg63 and -nocvg63 to override this setting from vsim command line. ; The default value of this variable from release 6.6 onwards is 0. This default ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. ; SVCovergroup63Compatibility = 0; Enforce the default behavior of covergroup get_coverage() builtin function, GUI ; and report. This variable sets the default value of type_option.merge_instances. ; There are two vsim command line options, -cvgmergeinstances and ; -nocvgmergeinstances to override this setting from vsim command line. ; The default value of this variable is 0. This default ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. ; SVCovergroupMergeInstancesDefault = 0; Enable or disable generation of more detailed information about the sampling ; of covergroup, cross, and coverpoints. It provides the details of the number ; of times the covergroup instance and type were sampled, as well as details ; about why covergroup, cross and coverpoint were not covered. A non-zero value ; is to enable this feature. 0 is to disable this feature. Default is 0 ; SVCovergroupSampleInfo = 0; Specify the maximum number of Coverpoint bins in whole design for ; all Covergroups. ; MaxSVCoverpointBinsDesign = 2147483648 ; Specify maximum number of Coverpoint bins in any instance of a Covergroup ; MaxSVCoverpointBinsInst = 2147483648; Specify the maximum number of Cross bins in whole design for ; all Covergroups. ; MaxSVCrossBinsDesign = 2147483648 ; Specify maximum number of Cross bins in any instance of a Covergroup ; MaxSVCrossBinsInst = 2147483648; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. ; By default, this variable is set 0, in which case option.no_collect setting will take effect. ; If this variable is set to 1, all zero-weight coverage items will not be saved. ; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting ; of this variable. ; CvgZWNoCollect = 1; Specify a space delimited list of double quoted TCL style ; regular expressions which will be matched against the text of all messages. ; If any regular expression is found to be contained within any message, the ; status for that message will not be propagated to the UCDB TESTSTATUS. ; If no match is detected, then the status will be propagated to the ; UCDB TESTSTATUS. More than one such regular expression text is allowed, ; and each message text is compared for each regular expression in the list. ; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" ; Set weight for all PSL/SVA cover directives. Default is 1. ; CoverWeight = 2; Check vsim plusargs. Default is 0 (off). ; 0 = Don't check plusargs ; 1 = Warning on unrecognized plusarg ; 2 = Error and exit on unrecognized plusarg ; CheckPlusargs = 1; Load the specified shared objects with the RTLD_GLOBAL flag. ; This gives global visibility to all symbols in the shared objects, ; meaning that subsequently loaded shared objects can bind to symbols ; in the global shared objects. The list of shared objects should ; be whitespace delimited. This option is not supported on the ; Windows or AIX platforms. ; GlobalSharedObjectList = example1.so example2.so example3.so; Generate the stub definitions for the undefined symbols in the shared libraries being ; loaded in the simulation. When this flow is turned on, the undefined symbols will not ; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error. ; The valid arguments are: on, off, verbose. ; on : turn on the automatic generation of stub definitions. ; off: turn off the flow. The undefined symbols will trigger an immediate load failure. ; verbose: Turn on the flow and report the undefined symbols for each shared library. ; NOTE: This variable can be overriden with vsim switch "-undefsyms". ; The default is off. ; ; UndefSyms = on; Initial seed for the random number generator of the root thread (SystemVerilog). ; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. ; The default value is 0. ; Sv_Seed = 0; Specify the solver "engine" that vsim will select for constrained random ; generation. ; Valid values are: ; "auto" - automatically select the best engine for the current ; constraint scenario ; "bdd" - evaluate all constraint scenarios using the BDD solver engine ; "act" - evaluate all constraint scenarios using the ACT solver engine ; While the BDD solver engine is generally efficient with constraint scenarios ; involving bitwise logical relationships, the ACT solver engine can exhibit ; superior performance with constraint scenarios involving large numbers of ; random variables related via arithmetic operators (+, *, etc). ; NOTE: This variable can be overridden with the vsim "-solveengine" command ; line switch. ; The default value is "auto". ; SolveEngine = auto; Specify if the solver should attempt to ignore overflow/underflow semantics ; for arithmetic constraints (multiply, addition, subtraction) in order to ; improve performance. The "solveignoreoverflow" attribute can be specified on ; a per-call basis to randomize() to override this setting. ; The default value is 0 (overflow/underflow is not ignored). Set to 1 to ; ignore overflow/underflow. ; SolveIgnoreOverflow = 0; Specifies the maximum size that a dynamic array may be resized to by the ; solver. If the solver attempts to resize a dynamic array to a size greater ; than the specified limit, the solver will abort with an error. ; The default value is 10000. A value of 0 indicates no limit. ; SolveArrayResizeMax = 10000; Error message severity when randomize() failure is detected (SystemVerilog). ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal ; The default is 0 (no error). ; SolveFailSeverity = 0; Error message severity for suppressible errors that are detected in a ; solve/before constraint. ; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" ; command line switch. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal ; The default is 3 (failure). ; SolveBeforeErrorSeverity = 3; Enable/disable debug information for randomize() failures. ; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command ; line switch. ; The default is 0 (disabled). Set to 1 to enable basic debug (with no ; performance penalty). Set to 2 for enhanced debug (will result in slower ; runtime performance). ; SolveFailDebug = 0; Upon encountering a randomize() failure, generate a simplified testcase that ; will reproduce the failure. Optionally output the testcase to a file. ; Testcases for 'no-solution' failures will only be produced if SolveFailDebug ; is enabled (see above). ; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" ; command line switch. ; The default is OFF (do not generate a testcase). To enable testcase ; generation, uncomment this variable. To redirect testcase generation to a ; file, specify the name of the output file. ; SolveFailTestcase = ; Specify the maximum size of the solution graph generated by the BDD solver. ; This value can be used to force the BDD solver to abort the evaluation of a ; complex constraint scenario that cannot be evaluated with finite memory. ; This value is specified in 1000s of nodes. ; The default value is 10000. A value of 0 indicates no limit. ; SolveGraphMaxSize = 10000; Specify the maximum number of evaluations that may be performed on the ; solution graph by the BDD solver. This value can be used to force the BDD ; solver to abort the evaluation of a complex constraint scenario that cannot ; be evaluated in finite time. This value is specified in 10000s of evaluations. ; The default value is 10000. A value of 0 indicates no limit. ; SolveGraphMaxEval = 10000; Specify the maximum number of tests that the ACT solver may evaluate before ; abandoning an attempt to solve a particular constraint scenario. ; The default value is 2000000. A value of 0 indicates no limit. ; SolveACTMaxTests = 2000000; Specify the maximum number of operations that the ACT solver may perform ; before abandoning an attempt to solve a particular constraint scenario. The ; value is specified in 1000000s of operations. ; The default value is 10000. A value of 0 indicates no limit. ; SolveACTMaxOps = 10000; Specify the number of times the ACT solver will retry to evaluate a constraint ; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. ; The default value is 0 (no retry). ; SolveACTRetryCount = 0; Specify random sequence compatiblity with a prior letter release. This ; option is used to get the same random sequences during simulation as ; as a prior letter release. Only prior letter releases (of the current ; number release) are allowed. ; NOTE: Only those random sequence changes due to solver optimizations are ; reverted by this variable. Random sequence changes due to solver bugfixes ; cannot be un-done. ; NOTE: This variable can be overridden with the vsim "-solverev" command ; line switch. ; Default value set to "" (no compatibility). ; SolveRev =; Environment variable expansion of command line arguments has been depricated ; in favor shell level expansion. Universal environment variable expansion ; inside -f files is support and continued support for MGC Location Maps provide ; alternative methods for handling flexible pathnames. ; The following line may be uncommented and the value set to 1 to re-enable this ; deprecated behavior. The default value is 0. ; DeprecatedEnvironmentVariableExpansion = 0; Specify the memory threshold for the System Verilog garbage collector. ; The value is the number of megabytes of class objects that must accumulate ; before the garbage collector is run. ; The GCThreshold setting is used when class debug mode is disabled to allow ; less frequent garbage collection and better simulation performance. ; The GCThresholdClassDebug setting is used when class debug mode is enabled ; to allow for more frequent garbage collection. ; GCThreshold = 100 ; GCThresholdClassDebug = 5; Turn on/off collapsing of bus ports in VCD dumpports output DumpportsCollapse = 1; Location of Multi-Level Verification Component (MVC) installation. ; The default location is the product installation directory. MvcHome = $MODEL_TECH/..; Location of InFact installation. The default is $MODEL_TECH/../../infact ; ; InFactHome = $MODEL_TECH/../../infact; Initialize SystemVerilog enums using the base type's default value ; instead of the leftmost value. ; EnumBaseInit = 1; Suppress file type registration. ; SuppressFileTypeReg = 1; Controls SystemVerilog Language Extensions. These options enable ; some non-LRM compliant behavior. Valid extensions are "cfce", ; SvExtensions = cfce; Controls the formatting of '%p' and '%P' conversion specification, used in $display ; and similar system tasks. ; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. ; The 'I' flag when present causes relevant data types to be expanded and indented into ; a more readable format. ; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). ; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. ; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). ; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. ; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). ; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes ; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). ; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes ; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). ; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. ; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). ; 7. Items 1-6 above can be combined as a comma separated list. ; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) ; SVPrettyPrintFlags=I4S[lmc] ; The simulator's interface to Logic Modeling's SmartModel SWIFT software libsm = $MODEL_TECH/libsm.sl ; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) ; libsm = $MODEL_TECH/libsm.dll ; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) ; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl ; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) ; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o ; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) ; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so ; Logic Modeling's SmartModel SWIFT software (Windows NT) ; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll ; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) ; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so ; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) ; libswift = $LMC_HOME/lib/linux.lib/libswift.so; The simulator's interface to Logic Modeling's hardware modeler SFI software libhm = $MODEL_TECH/libhm.sl ; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) ; libhm = $MODEL_TECH/libhm.dll ; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) ; libsfi = <sfi_dir>/lib/hp700/libsfi.sl ; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) ; libsfi = <sfi_dir>/lib/rs6000/libsfi.a ; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) ; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so ; Logic Modeling's hardware modeler SFI software (Windows NT) ; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll ; Logic Modeling's hardware modeler SFI software (Linux) ; libsfi = <sfi_dir>/lib/linux/libsfi.so[msg_system] ; Change a message severity or suppress a message. ; The format is: <msg directive> = <msg number>[,<msg number>...] ; suppress can be used to achieve +nowarn<CODE> functionality ; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] ; Examples: suppress = 8780 ;an explanation can be had by running: verror 8780 ; note = 3009 ; warning = 3033 ; error = 3010,3016 ; fatal = 3016,3033 ; suppress = 3009,3016,3601 ; suppress = 3009,CNNODP,3601,TFMPC ; suppress = 8683,8684 ; The command verror <msg number> can be used to get the complete ; description of a message.; Control transcripting of Verilog display system task messages and ; PLI/FLI print function call messages. The system tasks include ; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They ; also include the analogous file I/O tasks that write to STDOUT ; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, ; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default ; is to have messages appear only in the transcript. The other ; settings are to send messages to the wlf file only (messages that ; are recorded in the wlf file can be viewed in the MsgViewer) or ; to both the transcript and the wlf file. The valid values are ; tran {transcript only (default)} ; wlf {wlf file only} ; both {transcript and wlf file} ; displaymsgmode = tran; Control transcripting of elaboration/runtime messages not ; addressed by the displaymsgmode setting. The default is to ; have messages appear only in the transcript. The other settings ; are to send messages to the wlf file only (messages that are ; recorded in the wlf file can be viewed in the MsgViewer) or to both ; the transcript and the wlf file. The valid values are ; tran {transcript only (default)} ; wlf {wlf file only} ; both {transcript and wlf file} ; msgmode = tran; Controls number of displays of a particluar message ; default value is 5 ; MsgLimitCount = 5[utils] ; Default Library Type (while creating a library with "vlib") ; 0 - legacy library using subdirectories for design units ; 2 - flat library ; DefaultLibType = 2; Flat Library Page Size (while creating a library with "vlib") ; Set the size in bytes for flat library file pages. Libraries containing ; very large files may benefit from a larger value. ; FlatLibPageSize = 8192; Flat Library Page Cleanup Percentage (while creating a library with "vlib") ; Set the percentage of total pages deleted before library cleanup can occur. ; This setting is applied together with FlatLibPageDeleteThreshold. ; FlatLibPageDeletePercentage = 50; Flat Library Page Cleanup Threshold (while creating a library with "vlib") ; Set the number of pages deleted before library cleanup can occur. ; This setting is applied together with FlatLibPageDeletePercentage. ; FlatLibPageDeleteThreshold = 1000secureip = D:\modism_10.4\ISE_simlib/secureip unisim = D:\modism_10.4\ISE_simlib/unisim unimacro = D:\modism_10.4\ISE_simlib/unimacro unisims_ver = D:\modism_10.4\ISE_simlib/unisims_ver unimacro_ver = D:\modism_10.4\ISE_simlib/unimacro_ver simprim = D:\modism_10.4\ISE_simlib/simprim simprims_ver = D:\modism_10.4\ISE_simlib/simprims_ver xilinxcorelib = D:\modism_10.4\ISE_simlib/xilinxcorelib xilinxcorelib_ver = D:\modism_10.4\ISE_simlib/xilinxcorelib_ver uni9000_ver = D:\modism_10.4\ISE_simlib/uni9000_ver cpld = D:\modism_10.4\ISE_simlib/cpld cpld_ver = D:\modism_10.4\ISE_simlib/cpld_ver edk = D:\modism_10.4\ISE_simlib/edk cdn_axi3_master_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi3_master_bfm_wrap_v2_01_b cdn_axi3_slave_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi3_slave_bfm_wrap_v2_01_b cdn_axi4_lite_master_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi4_lite_master_bfm_wrap_v2_01_b cdn_axi4_lite_slave_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi4_lite_slave_bfm_wrap_v2_01_b cdn_axi4_master_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi4_master_bfm_wrap_v2_01_b cdn_axi4_slave_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi4_slave_bfm_wrap_v2_01_b cdn_axi4_streaming_master_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi4_streaming_master_bfm_wrap_v2_01_b cdn_axi4_streaming_slave_bfm_wrap_v2_01_b = D:\modism_10.4\ISE_simlib/edk/cdn_axi4_streaming_slave_bfm_wrap_v2_01_b proc_common_v3_00_a = D:\modism_10.4\ISE_simlib/edk/proc_common_v3_00_a common_v1_00_a = D:\modism_10.4\ISE_simlib/edk/common_v1_00_a apu_fpu_v3_10_a = D:\modism_10.4\ISE_simlib/edk/apu_fpu_v3_10_a apu_fpu_virtex5_v1_01_a = D:\modism_10.4\ISE_simlib/edk/apu_fpu_virtex5_v1_01_a lib_common_v1_00_a = D:\modism_10.4\ISE_simlib/edk/lib_common_v1_00_a axi_lite_ipif_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_lite_ipif_v1_01_a axi_sg_v3_00_a = D:\modism_10.4\ISE_simlib/edk/axi_sg_v3_00_a axi_datamover_v4_00_a = D:\modism_10.4\ISE_simlib/edk/axi_datamover_v4_00_a axi_sg_v4_00_a = D:\modism_10.4\ISE_simlib/edk/axi_sg_v4_00_a axi_sg_v4_03_a = D:\modism_10.4\ISE_simlib/edk/axi_sg_v4_03_a axi_datamover_v4_01_a = D:\modism_10.4\ISE_simlib/edk/axi_datamover_v4_01_a axi_sg_v4_01_a = D:\modism_10.4\ISE_simlib/edk/axi_sg_v4_01_a axi_sg_v4_02_a = D:\modism_10.4\ISE_simlib/edk/axi_sg_v4_02_a axi_lite_ipif_v1_00_a = D:\modism_10.4\ISE_simlib/edk/axi_lite_ipif_v1_00_a axi_slave_burst_v1_00_a = D:\modism_10.4\ISE_simlib/edk/axi_slave_burst_v1_00_a emc_common_v5_00_a = D:\modism_10.4\ISE_simlib/edk/emc_common_v5_00_a emc_common_v5_01_a = D:\modism_10.4\ISE_simlib/edk/emc_common_v5_01_a emc_common_v5_02_a = D:\modism_10.4\ISE_simlib/edk/emc_common_v5_02_a emc_common_v5_03_a = D:\modism_10.4\ISE_simlib/edk/emc_common_v5_03_a emc_common_v5_03_b = D:\modism_10.4\ISE_simlib/edk/emc_common_v5_03_b axi_enhanced_pcie_v1_00_a = D:\modism_10.4\ISE_simlib/edk/axi_enhanced_pcie_v1_00_a axi_enhanced_pcie_v1_02_a = D:\modism_10.4\ISE_simlib/edk/axi_enhanced_pcie_v1_02_a axi_enhanced_pcie_v1_03_a = D:\modism_10.4\ISE_simlib/edk/axi_enhanced_pcie_v1_03_a axi_enhanced_pcie_v1_04_a = D:\modism_10.4\ISE_simlib/edk/axi_enhanced_pcie_v1_04_a lib_common_v1_01_a = D:\modism_10.4\ISE_simlib/edk/lib_common_v1_01_a axi_ethernet_avb_wrap_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_avb_wrap_v1_01_a axi_ethernet_avb_wrap_v2_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_avb_wrap_v2_01_a axi_ethernet_avb_wrap_v3_00_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_avb_wrap_v3_00_a axi_ethernet_pcs_pma_wrap_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_pcs_pma_wrap_v1_01_a axi_ethernet_pcs_pma_wrap_v2_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_pcs_pma_wrap_v2_01_a axi_ethernet_pcs_pma_wrap_v3_00_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_pcs_pma_wrap_v3_00_a axi_ethernet_pcs_pma_wrap_v3_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_pcs_pma_wrap_v3_01_a axi_ethernet_soft_temac_wrap_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_soft_temac_wrap_v1_01_a axi_ethernet_soft_temac_wrap_v2_00_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_soft_temac_wrap_v2_00_a axi_ethernet_soft_temac_wrap_v2_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_soft_temac_wrap_v2_01_a axi_ethernet_soft_temac_wrap_v3_00_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_soft_temac_wrap_v3_00_a axi_ethernet_soft_temac_wrap_v3_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_soft_temac_wrap_v3_01_a axi_ethernet_stat_wrap_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_stat_wrap_v1_01_a axi_ethernet_stat_wrap_v2_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_stat_wrap_v2_01_a axi_ethernet_v3_01_a = D:\modism_10.4\ISE_simlib/edk/axi_ethernet_v3_01_a axi_ethernetlite_v1_01_b = D:\modism_10.4\ISE_simlib/edk/axi_ethernetlite_v1_01_b interrupt_control_v2_01_a = D:\modism_10.4\ISE_simlib/edk/interrupt_control_v2_01_a hwicap_v7_00_a = D:\modism_10.4\ISE_simlib/edk/hwicap_v7_00_a axi_master_burst_v1_00_a = D:\modism_10.4\ISE_simlib/edk/axi_master_burst_v1_00_a axi_master_lite_v1_00_a = D:\modism_10.4\ISE_simlib/edk/axi_master_lite_v1_00_a axi_master_lite_v2_00_a = D:\modism_10.4\ISE_simlib/edk/axi_master_lite_v2_00_a axi_pcie_mm_s_v1_00_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_mm_s_v1_00_a axi_pcie_mm_s_v1_02_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_mm_s_v1_02_a axi_pcie_mm_s_v1_03_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_mm_s_v1_03_a axi_pcie_mm_s_v1_04_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_mm_s_v1_04_a axi_pcie_v1_05_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_v1_05_a axi_pcie_v1_06_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_v1_06_a axi_pcie_v1_07_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_v1_07_a axi_pcie_v1_08_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_v1_08_a axi_pcie_v1_09_a = D:\modism_10.4\ISE_simlib/edk/axi_pcie_v1_09_a axi_protocol_checker_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_protocol_checker_v1_01_a axi_s6_ddrx_v1_06_a = D:\modism_10.4\ISE_simlib/edk/axi_s6_ddrx_v1_06_a axi_sg_v3_01_a = D:\modism_10.4\ISE_simlib/edk/axi_sg_v3_01_a axi_slave_burst_v1_00_b = D:\modism_10.4\ISE_simlib/edk/axi_slave_burst_v1_00_b sysace_common_v1_01_a = D:\modism_10.4\ISE_simlib/edk/sysace_common_v1_01_a axi_sysace_v1_01_a = D:\modism_10.4\ISE_simlib/edk/axi_sysace_v1_01_a axi_sysmon_adc_v2_00_a = D:\modism_10.4\ISE_simlib/edk/axi_sysmon_adc_v2_00_a axi_v6_ddrx_v1_06_a = D:\modism_10.4\ISE_simlib/edk/axi_v6_ddrx_v1_06_a block_plus_v1_10_a = D:\modism_10.4\ISE_simlib/edk/block_plus_v1_10_a block_plus_v1_10_b = D:\modism_10.4\ISE_simlib/edk/block_plus_v1_10_b block_plus_v1_12_a = D:\modism_10.4\ISE_simlib/edk/block_plus_v1_12_a block_plus_v1_13_a = D:\modism_10.4\ISE_simlib/edk/block_plus_v1_13_a chipscope_icon_v1_06_a = D:\modism_10.4\ISE_simlib/edk/chipscope_icon_v1_06_a chipscope_ila_v1_05_a = D:\modism_10.4\ISE_simlib/edk/chipscope_ila_v1_05_a chipscope_plbv46_iba_v1_03_a = D:\modism_10.4\ISE_simlib/edk/chipscope_plbv46_iba_v1_03_a chipscope_vio_v1_05_a = D:\modism_10.4\ISE_simlib/edk/chipscope_vio_v1_05_a clock_generator_v4_03_a = D:\modism_10.4\ISE_simlib/edk/clock_generator_v4_03_a csum_v1_00_a = D:\modism_10.4\ISE_simlib/edk/csum_v1_00_a dcm_module_v1_00_e = D:\modism_10.4\ISE_simlib/edk/dcm_module_v1_00_e intc_core_v2_00_a = D:\modism_10.4\ISE_simlib/edk/intc_core_v2_00_a dcr_intc_v2_00_a = D:\modism_10.4\ISE_simlib/edk/dcr_intc_v2_00_a dcr_v29_v1_00_b = D:\modism_10.4\ISE_simlib/edk/dcr_v29_v1_00_b dsbram_if_cntlr_v3_00_c = D:\modism_10.4\ISE_simlib/edk/dsbram_if_cntlr_v3_00_c dsocm_v10_v2_00_b = D:\modism_10.4\ISE_simlib/edk/dsocm_v10_v2_00_b emc_common_v2_00_a = D:\modism_10.4\ISE_simlib/edk/emc_common_v2_00_a emc_common_v4_01_a = D:\modism_10.4\ISE_simlib/edk/emc_common_v4_01_a eth_stat_wrap_v2_02_a = D:\modism_10.4\ISE_simlib/edk/eth_stat_wrap_v2_02_a eth_stat_wrap_v2_03_a = D:\modism_10.4\ISE_simlib/edk/eth_stat_wrap_v2_03_a fcb2fsl_bridge_v1_00_a = D:\modism_10.4\ISE_simlib/edk/fcb2fsl_bridge_v1_00_a fcb_v10_v1_00_a = D:\modism_10.4\ISE_simlib/edk/fcb_v10_v1_00_a fcb_v20_v1_00_a = D:\modism_10.4\ISE_simlib/edk/fcb_v20_v1_00_a gig_ethernet_pcs_pma_v11_04_a = D:\modism_10.4\ISE_simlib/edk/gig_ethernet_pcs_pma_v11_04_a gig_ethernet_pcs_pma_v11_05_a = D:\modism_10.4\ISE_simlib/edk/gig_ethernet_pcs_pma_v11_05_a gmii_to_rgmii_v1_00_a = D:\modism_10.4\ISE_simlib/edk/gmii_to_rgmii_v1_00_a gmii_to_rgmii_v1_01_a = D:\modism_10.4\ISE_simlib/edk/gmii_to_rgmii_v1_01_a hwicap_v6_00_a = D:\modism_10.4\ISE_simlib/edk/hwicap_v6_00_a hwicap_v6_01_a = D:\modism_10.4\ISE_simlib/edk/hwicap_v6_01_a proc_common_v2_00_a = D:\modism_10.4\ISE_simlib/edk/proc_common_v2_00_a interrupt_control_v1_00_a = D:\modism_10.4\ISE_simlib/edk/interrupt_control_v1_00_a isbram_if_cntlr_v3_00_c = D:\modism_10.4\ISE_simlib/edk/isbram_if_cntlr_v3_00_c isocm_v10_v2_00_b = D:\modism_10.4\ISE_simlib/edk/isocm_v10_v2_00_b jtagppc_cntlr_v2_01_c = D:\modism_10.4\ISE_simlib/edk/jtagppc_cntlr_v2_01_c plbv46_slave_single_v1_01_a = D:\modism_10.4\ISE_simlib/edk/plbv46_slave_single_v1_01_a plbv46_slave_burst_v1_01_a = D:\modism_10.4\ISE_simlib/edk/plbv46_slave_burst_v1_01_a mch_plbv46_slave_burst_v2_01_a = D:\modism_10.4\ISE_simlib/edk/mch_plbv46_slave_burst_v2_01_a mgt_protector_v1_00_a = D:\modism_10.4\ISE_simlib/edk/mgt_protector_v1_00_a mii_to_rmii_v1_01_a = D:\modism_10.4\ISE_simlib/edk/mii_to_rmii_v1_01_a mmcm_module_v1_00_a = D:\modism_10.4\ISE_simlib/edk/mmcm_module_v1_00_a mpmc_v6_06_a = D:\modism_10.4\ISE_simlib/edk/mpmc_v6_06_a rdpfifo_v1_01_b = D:\modism_10.4\ISE_simlib/edk/rdpfifo_v1_01_b wrpfifo_v1_01_b = D:\modism_10.4\ISE_simlib/edk/wrpfifo_v1_01_b opb_ipif_v3_01_a = D:\modism_10.4\ISE_simlib/edk/opb_ipif_v3_01_a plbv46_master_burst_v1_01_a = D:\modism_10.4\ISE_simlib/edk/plbv46_master_burst_v1_01_a pci_arbiter_v1_00_a = D:\modism_10.4\ISE_simlib/edk/pci_arbiter_v1_00_a proc_common_v1_00_b = D:\modism_10.4\ISE_simlib/edk/proc_common_v1_00_b plb_v46_v1_05_a = D:\modism_10.4\ISE_simlib/edk/plb_v46_v1_05_a plbv46_axi_bridge_v2_01_a = D:\modism_10.4\ISE_simlib/edk/plbv46_axi_bridge_v2_01_a plbv46_dcr_bridge_v1_01_a = D:\modism_10.4\ISE_simlib/edk/plbv46_dcr_bridge_v1_01_a plbv46_master_single_v1_01_a = D:\modism_10.4\ISE_simlib/edk/plbv46_master_single_v1_01_a plbv46_master_v1_03_a = D:\modism_10.4\ISE_simlib/edk/plbv46_master_v1_03_a plbv46_master_v1_04_a = D:\modism_10.4\ISE_simlib/edk/plbv46_master_v1_04_a wrpfifo_v5_00_a = D:\modism_10.4\ISE_simlib/edk/wrpfifo_v5_00_a rdpfifo_v4_01_a = D:\modism_10.4\ISE_simlib/edk/rdpfifo_v4_01_a plbv46_slave_v1_03_a = D:\modism_10.4\ISE_simlib/edk/plbv46_slave_v1_03_a plbv46_slave_v1_05_a = D:\modism_10.4\ISE_simlib/edk/plbv46_slave_v1_05_a plbv46_pci_v1_04_a = D:\modism_10.4\ISE_simlib/edk/plbv46_pci_v1_04_a plbv46_slave_v1_04_a = D:\modism_10.4\ISE_simlib/edk/plbv46_slave_v1_04_a virtex6_pcie_v1_01_a = D:\modism_10.4\ISE_simlib/edk/virtex6_pcie_v1_01_a spartan6_pcie_v1_01_a = D:\modism_10.4\ISE_simlib/edk/spartan6_pcie_v1_01_a virtex6_pcie_v1_02_a = D:\modism_10.4\ISE_simlib/edk/virtex6_pcie_v1_02_a spartan6_pcie_v1_02_a = D:\modism_10.4\ISE_simlib/edk/spartan6_pcie_v1_02_a virtex6_pcie_v1_04_a = D:\modism_10.4\ISE_simlib/edk/virtex6_pcie_v1_04_a spartan6_pcie_v1_04_a = D:\modism_10.4\ISE_simlib/edk/spartan6_pcie_v1_04_a virtex6_pcie_v1_05_a = D:\modism_10.4\ISE_simlib/edk/virtex6_pcie_v1_05_a virtex6_pcie_v1_06_a = D:\modism_10.4\ISE_simlib/edk/virtex6_pcie_v1_06_a plbv46_pcie_v4_07_a = D:\modism_10.4\ISE_simlib/edk/plbv46_pcie_v4_07_a plbv46_slave_v1_02_a = D:\modism_10.4\ISE_simlib/edk/plbv46_slave_v1_02_a wrpfifo_v5_01_a = D:\modism_10.4\ISE_simlib/edk/wrpfifo_v5_01_a rdpfifo_v4_02_a = D:\modism_10.4\ISE_simlib/edk/rdpfifo_v4_02_a plbv46_plbv46_bridge_v1_04_a = D:\modism_10.4\ISE_simlib/edk/plbv46_plbv46_bridge_v1_04_a pll_module_v2_00_a = D:\modism_10.4\ISE_simlib/edk/pll_module_v2_00_a ppc405_virtex4_v2_01_b = D:\modism_10.4\ISE_simlib/edk/ppc405_virtex4_v2_01_b ppc440_virtex5_v1_01_a = D:\modism_10.4\ISE_simlib/edk/ppc440_virtex5_v1_01_a ppc440mc_ddr2_v3_00_c = D:\modism_10.4\ISE_simlib/edk/ppc440mc_ddr2_v3_00_c proc_utils_v1_00_a = D:\modism_10.4\ISE_simlib/edk/proc_utils_v1_00_a processing_system7_v4_02_a = D:\modism_10.4\ISE_simlib/edk/processing_system7_v4_02_a processing_system7_v4_03_a = D:\modism_10.4\ISE_simlib/edk/processing_system7_v4_03_a soft_temac_wrap_v2_02_a = D:\modism_10.4\ISE_simlib/edk/soft_temac_wrap_v2_02_a soft_temac_wrap_v2_03_a = D:\modism_10.4\ISE_simlib/edk/soft_temac_wrap_v2_03_a spartan6_pcie_v1_03_a = D:\modism_10.4\ISE_simlib/edk/spartan6_pcie_v1_03_a util_ds_buf_v1_01_a = D:\modism_10.4\ISE_simlib/edk/util_ds_buf_v1_01_a v_axi4s_vid_out_v2_01_a = D:\modism_10.4\ISE_simlib/edk/v_axi4s_vid_out_v2_01_a v_tc_v5_01_a = D:\modism_10.4\ISE_simlib/edk/v_tc_v5_01_a v_ccm_v5_01_a = D:\modism_10.4\ISE_simlib/edk/v_ccm_v5_01_a v_cfa_v6_01_a = D:\modism_10.4\ISE_simlib/edk/v_cfa_v6_01_a v_cresample_v3_01_a = D:\modism_10.4\ISE_simlib/edk/v_cresample_v3_01_a v_deinterlacer_v3_00_a = D:\modism_10.4\ISE_simlib/edk/v_deinterlacer_v3_00_a v_enhance_v6_00_a = D:\modism_10.4\ISE_simlib/edk/v_enhance_v6_00_a v_gamma_v6_01_a = D:\modism_10.4\ISE_simlib/edk/v_gamma_v6_01_a v_ic_v3_00_a = D:\modism_10.4\ISE_simlib/edk/v_ic_v3_00_a v_manr_v5_01_a = D:\modism_10.4\ISE_simlib/edk/v_manr_v5_01_a v_noise_v5_01_a = D:\modism_10.4\ISE_simlib/edk/v_noise_v5_01_a v_objseg_v3_00_a = D:\modism_10.4\ISE_simlib/edk/v_objseg_v3_00_a v_osd_v5_01_a = D:\modism_10.4\ISE_simlib/edk/v_osd_v5_01_a v_rgb2ycrcb_v6_01_a = D:\modism_10.4\ISE_simlib/edk/v_rgb2ycrcb_v6_01_a v_scaler_v7_01_a = D:\modism_10.4\ISE_simlib/edk/v_scaler_v7_01_a v_spc_v6_01_a = D:\modism_10.4\ISE_simlib/edk/v_spc_v6_01_a v_stats_v5_01_a = D:\modism_10.4\ISE_simlib/edk/v_stats_v5_01_a v_tpg_v4_00_a = D:\modism_10.4\ISE_simlib/edk/v_tpg_v4_00_a v_vid_in_axi4s_v2_01_a = D:\modism_10.4\ISE_simlib/edk/v_vid_in_axi4s_v2_01_a v_ycrcb2rgb_v6_01_a = D:\modism_10.4\ISE_simlib/edk/v_ycrcb2rgb_v6_01_a virtex6_pcie_v1_03_a = D:\modism_10.4\ISE_simlib/edk/virtex6_pcie_v1_03_a xps_bram_if_cntlr_v1_00_b = D:\modism_10.4\ISE_simlib/edk/xps_bram_if_cntlr_v1_00_b xps_can_v3_01_a = D:\modism_10.4\ISE_simlib/edk/xps_can_v3_01_a xps_central_dma_v2_03_a = D:\modism_10.4\ISE_simlib/edk/xps_central_dma_v2_03_a xps_deltasigma_adc_v1_01_a = D:\modism_10.4\ISE_simlib/edk/xps_deltasigma_adc_v1_01_a xps_deltasigma_dac_v1_01_a = D:\modism_10.4\ISE_simlib/edk/xps_deltasigma_dac_v1_01_a xps_epc_v1_02_a = D:\modism_10.4\ISE_simlib/edk/xps_epc_v1_02_a xps_ethernetlite_v4_00_a = D:\modism_10.4\ISE_simlib/edk/xps_ethernetlite_v4_00_a xps_gpio_v2_00_a = D:\modism_10.4\ISE_simlib/edk/xps_gpio_v2_00_a xps_hwicap_v5_01_a = D:\modism_10.4\ISE_simlib/edk/xps_hwicap_v5_01_a xps_iic_v2_03_a = D:\modism_10.4\ISE_simlib/edk/xps_iic_v2_03_a xps_spi_v2_02_a = D:\modism_10.4\ISE_simlib/edk/xps_spi_v2_02_a xps_insystem_flash_v1_02_a = D:\modism_10.4\ISE_simlib/edk/xps_insystem_flash_v1_02_a xps_intc_v2_01_a = D:\modism_10.4\ISE_simlib/edk/xps_intc_v2_01_a xps_ll_fifo_v1_02_a = D:\modism_10.4\ISE_simlib/edk/xps_ll_fifo_v1_02_a xps_ll_temac_v2_03_a = D:\modism_10.4\ISE_simlib/edk/xps_ll_temac_v2_03_a xps_mch_emc_v3_01_a = D:\modism_10.4\ISE_simlib/edk/xps_mch_emc_v3_01_a xps_ps2_v1_01_b = D:\modism_10.4\ISE_simlib/edk/xps_ps2_v1_01_b xps_sysace_v1_01_a = D:\modism_10.4\ISE_simlib/edk/xps_sysace_v1_01_a xps_sysmon_adc_v3_00_b = D:\modism_10.4\ISE_simlib/edk/xps_sysmon_adc_v3_00_b xps_tft_v2_01_a = D:\modism_10.4\ISE_simlib/edk/xps_tft_v2_01_a xps_timebase_wdt_v1_02_a = D:\modism_10.4\ISE_simlib/edk/xps_timebase_wdt_v1_02_a xps_timer_v1_02_a = D:\modism_10.4\ISE_simlib/edk/xps_timer_v1_02_a xps_uart16550_v3_00_a = D:\modism_10.4\ISE_simlib/edk/xps_uart16550_v3_00_a xps_uartlite_v1_02_a = D:\modism_10.4\ISE_simlib/edk/xps_uartlite_v1_02_a xps_usb2_device_v7_01_a = D:\modism_10.4\ISE_simlib/edk/xps_usb2_device_v7_01_a [DefineOptionset] ; Define optionset entries for the various compilers, vmake, and vsim. ; These option sets can be used with the "-optionset <optionsetname>" syntax. ; i.e. ; vlog -optionset COMPILEDEBUG top.sv ; vsim -optionset UVMDEBUG my_top ; ; Following are some useful examples.; define a vsim optionset for uvm debugging UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop; define a vopt optionset for debugging VOPTDEBUG = +acc -debugdb[vcom] ; VHDL93 variable selects language version as the default. ; Default is VHDL-2002. ; Value of 0 or 1987 for VHDL-1987. ; Value of 1 or 1993 for VHDL-1993. ; Default or value of 2 or 2002 for VHDL-2002. ; Value of 3 or 2008 for VHDL-2008 ; Value of 4 or ams99 for VHDL-AMS-1999 ; Value of 5 or ams07 for VHDL-AMS-2007 VHDL93 = 2002; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off. ; ignoreStandardRealVector = 1; Show source line containing error. Default is off. ; Show_source = 1; Turn off unbound-component warnings. Default is on. ; Show_Warning1 = 0; Turn off process-without-a-wait-statement warnings. Default is on. ; Show_Warning2 = 0; Turn off null-range warnings. Default is on. ; Show_Warning3 = 0; Turn off no-space-in-time-literal warnings. Default is on. ; Show_Warning4 = 0; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on. ; Show_Warning5 = 0; Turn off optimization for IEEE std_logic_1164 package. Default is on. ; Optimize_1164 = 0; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Turn on resolving of ambiguous function overloading in favor of the ; "explicit" function declaration (not the one automatically created by ; the compiler for each type declaration). Default is off. ; The .ini file has Explicit enabled so that std_logic_signed/unsigned ; will match the behavior of synthesis tools. Explicit = 1; Turn off acceleration of the VITAL packages. Default is to accelerate. ; NoVital = 1; Turn off VITAL compliance checking. Default is checking on. ; NoVitalCheck = 1; Ignore VITAL compliance checking errors. Default is to not ignore. ; IgnoreVitalErrors = 1; Turn off VITAL compliance checking warnings. Default is to show warnings. ; Show_VitalChecksWarnings = 0; Turn off PSL assertion warning messages. Default is to show warnings. ; Show_PslChecksWarnings = 0; Enable parsing of embedded PSL assertions. Default is enabled. ; EmbeddedPsl = 0; Keep silent about case statement static warnings. ; Default is to give a warning. ; NoCaseStaticError = 1; Keep silent about warnings caused by aggregates that are not locally static. ; Default is to give a warning. ; NoOthersStaticError = 1; Treat as errors: ; case statement static warnings ; warnings caused by aggregates that are not locally static ; Overrides NoCaseStaticError, NoOthersStaticError settings. ; PedanticErrors = 1; Turn off inclusion of debugging info within design units. ; Default is to include debugging info. ; NoDebug = 1; Turn off "Loading..." messages. Default is messages on. ; Quiet = 1; Turn on some limited synthesis rule compliance checking. Checks only: ; -- signals used (read) by a process must be in the sensitivity list ; CheckSynthesis = 1; Activate optimizations on expressions that do not involve signals, ; waits, or function/procedure/task invocations. Default is off. ; ScalarOpts = 1; Turns on lint-style checking. ; Show_Lint = 1; Require the user to specify a configuration for all bindings, ; and do not generate a compile time default binding for the ; component. This will result in an elaboration error of ; 'component not bound' if the user fails to do so. Avoids the rare ; issue of a false dependency upon the unused default binding. ; RequireConfigForAllDefaultBinding = 1; Perform default binding at compile time. ; Default is to do default binding at load time. ; BindAtCompile = 1;; Inhibit range checking on subscripts of arrays. Range checking on ; scalars defined with subtypes is inhibited by default. ; NoIndexCheck = 1; Inhibit range checks on all (implicit and explicit) assignments to ; scalar objects defined with subtypes. ; NoRangeCheck = 1; Set the prefix to be honored for synthesis/coverage pragma recognition. ; Default is "". ; AddPragmaPrefix = ""; Ignore synthesis and coverage pragmas with this prefix. ; Default is "". ; IgnorePragmaPrefix = ""; Turn on code coverage in VHDL design units. Default is off. ; Coverage = sbceft; Turn off code coverage in VHDL subprograms. Default is on. ; CoverSub = 0; Automatically exclude VHDL case statement OTHERS choice branches. ; This includes OTHERS choices in selected signal assigment statements. ; Default is to not exclude. ; CoverExcludeDefault = 1; Control compiler and VOPT optimizations that are allowed when ; code coverage is on. Refer to the comment for this in the [vlog] area. ; CoverOpt = 3; Turn on or off clkOpt optimization for code coverage. Default is on. ; CoverClkOpt = 1; Turn on or off clkOpt optimization builtins for code coverage. Default is on. ; CoverClkOptBuiltins = 0; Inform code coverage optimizations to respect VHDL 'H' and 'L' ; values on signals in conditions and expressions, and to not automatically ; convert them to '1' and '0'. Default is to not convert. ; CoverRespectHandL = 0; Increase or decrease the maximum number of rows allowed in a UDP table ; implementing a VHDL condition coverage or expression coverage expression. ; More rows leads to a longer compile time, but more expressions covered. ; CoverMaxUDPRows = 192; Increase or decrease the maximum number of input patterns that are present ; in FEC table. This leads to a longer compile time with more expressions ; covered with FEC metric. ; CoverMaxFECRows = 192; Increase or decrease the limit on the size of expressions and conditions ; considered for expression and condition coverages. Higher FecUdpEffort leads ; to higher compile, optimize and simulation time, but more expressions and ; conditions are considered for coverage in the design. FecUdpEffort can ; be set to a number ranging from 1 (low) to 3 (high), defined as: ; 1 - (low) Only small expressions and conditions considered for coverage. ; 2 - (medium) Bigger expressions and conditions considered for coverage. ; 3 - (high) Very large expressions and conditions considered for coverage. ; The default setting is 1 (low). ; FecUdpEffort = 1; Enable or disable Focused Expression Coverage analysis for conditions and ; expressions. Focused Expression Coverage data is provided by default when ; expression and/or condition coverage is active. ; CoverFEC = 0; Enable or disable UDP Coverage analysis for conditions and expressions. ; UDP Coverage data is disabled by default when expression and/or condition ; coverage is active. ; CoverUDP = 1; Enable or disable Rapid Expression Coverage mode for conditions and expressions. ; Disabling this would convert non-masking conditions in FEC tables to matching ; input patterns. ; CoverREC = 1; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions ; for expression/condition coverage. ; NOTE: Enabling this may have a negative impact on simulation performance. ; CoverExpandReductionPrefix = 0; Enable or disable short circuit evaluation of conditions and expressions when ; condition or expression coverage is active. Short circuit evaluation is enabled ; by default. ; CoverShortCircuit = 0; Enable code coverage reporting of code that has been optimized away. ; The default is not to report. ; CoverReportCancelled = 1; Enable deglitching of code coverage in combinatorial, non-clocked, processes. ; Default is no deglitching. ; CoverDeglitchOn = 1; Control the code coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; CoverDeglitchPeriod = 0; Use this directory for compiler temporary files instead of "work/_temp" ; CompilerTempDir = /tmp; Set this to cause the compilers to force data to be committed to disk ; when the files are closed. ; SyncCompilerFiles = 1; Add VHDL-AMS declarations to package STANDARD ; Default is not to add ; AmsStandard = 1; Range and length checking will be performed on array indices and discrete ; ranges, and when violations are found within subprograms, errors will be ; reported. Default is to issue warnings for violations, because subprograms ; may not be invoked. ; NoDeferSubpgmCheck = 0; Turn ON detection of FSMs having single bit current state variable. ; FsmSingle = 1; Turn off reset state transitions in FSM. ; FsmResetTrans = 0; Turn ON detection of FSM Implicit Transitions. ; FsmImplicitTrans = 1; Controls whether or not to show immediate assertions with constant expressions ; in GUI/report/UCDB etc. By default, immediate assertions with constant ; expressions are shown in GUI/report/UCDB etc. This does not affect ; evaluation of immediate assertions. ; ShowConstantImmediateAsserts = 0; Controls how VHDL basic identifiers are stored with the design unit. ; Does not make the language case-sensitive, affects only how declarations ; declared with basic identifiers have their names stored and printed ; (in the GUI, examine, etc.). ; Default is to preserve the case as originally depicted in the VHDL source. ; Value of 0 indicates to change all basic identifiers to lower case. ; PreserveCase = 0; For Configuration Declarations, controls the effect that USE clauses have ; on visibility inside the configuration items being configured. If 1 ; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance, ; extend the visibility of objects made visible through USE clauses into nested ; component configurations. ; OldVHDLConfigurationVisibility = 0; Allows VHDL configuration declarations to be in a different library from ; the corresponding configured entity. Default is to not allow this for ; stricter LRM-compliance. ; SeparateConfigLibrary = 1;; Determine how mode OUT subprogram parameters of type array and record are treated. ; If 0 (the default), then only VHDL 2008 will do this initialization. ; If 1, always initialize the mode OUT parameter to its default value. ; If 2, do not initialize the mode OUT out parameter. ; Note that prior to release 10.1, all language versions did not initialize mode ; OUT array and record type parameters, unless overridden here via this mechanism. ; In release 10.1 and later, only files compiled with VHDL 2008 will cause this ; initialization, unless overridden here. ; InitOutCompositeParam = 0; Generate symbols debugging database in only some special cases to save on ; the number of files in the library. For other design-units, this database is ; generated on-demand in vsim. ; Default is to to generate debugging database for all design-units. ; SmartDbgSym = 1; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[vlog] ; Turn off inclusion of debugging info within design units. ; Default is to include debugging info. ; NoDebug = 1; Turn on `protect compiler directive processing. ; Default is to ignore `protect directives. ; Protect = 1; Turn off "Loading..." messages. Default is messages on. ; Quiet = 1; Turn on Verilog hazard checking (order-dependent accessing of global vars). ; Default is off. ; Hazard = 1; Turn on converting regular Verilog identifiers to uppercase. Allows case ; insensitivity for module names. Default is no conversion. ; UpCase = 1; Activate optimizations on expressions that do not involve signals, ; waits, or function/procedure/task invocations. Default is off. ; ScalarOpts = 1; Turns on lint-style checking. ; Show_Lint = 1; Show source line containing error. Default is off. ; Show_source = 1; Turn on bad option warning. Default is off. ; Show_BadOptionWarning = 1; Revert back to IEEE 1364-1995 syntax, default is 0 (off). ; vlog95compat = 1; Turn off PSL warning messages. Default is to show warnings. ; Show_PslChecksWarnings = 0; Enable parsing of embedded PSL assertions. Default is enabled. ; EmbeddedPsl = 0; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Set the threshold for automatically identifying sparse Verilog memories. ; A memory with depth equal to or more than the sparse memory threshold gets ; marked as sparse automatically, unless specified otherwise in source code ; or by +nosparse commandline option of vlog or vopt. ; The default is 1M. (i.e. memories with depth equal ; to or greater than 1M are marked as sparse) ; SparseMemThreshold = 1048576 ; Set the prefix to be honored for synthesis and coverage pragma recognition. ; Default is "". ; AddPragmaPrefix = ""; Ignore synthesis and coverage pragmas with this prefix. ; Default is "". ; IgnorePragmaPrefix = ""; Set the option to treat all files specified in a vlog invocation as a ; single compilation unit. The default value is set to 0 which will treat ; each file as a separate compilation unit as specified in the P1800 draft standard. ; MultiFileCompilationUnit = 1; Turn on code coverage in Verilog design units. Default is off. ; Coverage = sbceft; Automatically exclude Verilog case statement default branches. ; Default is to not automatically exclude defaults. ; CoverExcludeDefault = 1; Increase or decrease the maximum number of rows allowed in a UDP table ; implementing a VHDL condition coverage or expression coverage expression. ; More rows leads to a longer compile time, but more expressions covered. ; CoverMaxUDPRows = 192; Increase or decrease the maximum number of input patterns that are present ; in FEC table. This leads to a longer compile time with more expressions ; covered with FEC metric. ; CoverMaxFECRows = 192; Increase or decrease the limit on the size of expressions and conditions ; considered for expression and condition coverages. Higher FecUdpEffort leads ; to higher compile, optimize and simulation time, but more expressions and ; conditions are considered for coverage in the design. FecUdpEffort can ; be set to a number ranging from 1 (low) to 3 (high), defined as: ; 1 - (low) Only small expressions and conditions considered for coverage. ; 2 - (medium) Bigger expressions and conditions considered for coverage. ; 3 - (high) Very large expressions and conditions considered for coverage. ; The default setting is 1 (low). ; FecUdpEffort = 1; Enable or disable Focused Expression Coverage analysis for conditions and ; expressions. Focused Expression Coverage data is provided by default when ; expression and/or condition coverage is active. ; CoverFEC = 0; Enable or disable UDP Coverage analysis for conditions and expressions. ; UDP Coverage data is disabled by default when expression and/or condition ; coverage is active. ; CoverUDP = 1; Enable or disable Rapid Expression Coverage mode for conditions and expressions. ; Disabling this would convert non-masking conditions in FEC tables to matching ; input patterns. ; CoverREC = 1; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions ; for expression/condition coverage. ; NOTE: Enabling this may have a negative impact on simulation performance. ; CoverExpandReductionPrefix = 0; Enable or disable short circuit evaluation of conditions and expressions when ; condition or expression coverage is active. Short circuit evaluation is enabled ; by default. ; CoverShortCircuit = 0; Enable deglitching of code coverage in combinatorial, non-clocked, processes. ; Default is no deglitching. ; CoverDeglitchOn = 1; Control the code coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; CoverDeglitchPeriod = 0; Turn on code coverage in VLOG `celldefine modules, modules containing ; specify blocks, and modules included using vlog -v and -y. Default is off. ; CoverCells = 1; Enable code coverage reporting of code that has been optimized away. ; The default is not to report. ; CoverReportCancelled = 1; Control compiler and VOPT optimizations that are allowed when ; code coverage is on. This is a number from 0 to 5, with the following ; meanings (the default is 3): ; 5 -- All allowable optimizations are on. ; 4 -- Turn off removing unreferenced code. ; 3 -- Turn off process, always block and if statement merging. ; 2 -- Turn off expression optimization, converting primitives ; to continuous assignments, VHDL subprogram inlining. ; and VHDL clkOpt (converting FF's to builtins). ; 1 -- Turn off continuous assignment optimizations and clock suppression. ; 0 -- Turn off Verilog module inlining and VHDL arch inlining. ; HOWEVER, if fsm coverage is turned on, optimizations will be forced to ; level 3, with also turning off converting primitives to continuous assigns. ; CoverOpt = 3; Specify the override for the default value of "cross_num_print_missing" ; option for the Cross in Covergroups. If not specified then LRM default ; value of 0 (zero) is used. This is a compile time option. ; SVCrossNumPrintMissingDefault = 0; Setting following to 1 would cause creation of variables which ; would represent the value of Coverpoint expressions. This is used ; in conjunction with "SVCoverpointExprVariablePrefix" option ; in the modelsim.ini ; EnableSVCoverpointExprVariable = 0; Specify the override for the prefix used in forming the variable names ; which represent the Coverpoint expressions. This is used in conjunction with ; "EnableSVCoverpointExprVariable" option of the modelsim.ini ; The default prefix is "expr". ; The variable name is ; variable name => <prefix>_<coverpoint name> ; SVCoverpointExprVariablePrefix = expr; Override for the default value of the SystemVerilog covergroup, ; coverpoint, and cross option.goal (defined to be 100 in the LRM). ; NOTE: It does not override specific assignments in SystemVerilog ; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal" ; in the [vsim] section can override this value. ; SVCovergroupGoalDefault = 100; Override for the default value of the SystemVerilog covergroup, ; coverpoint, and cross type_option.goal (defined to be 100 in the LRM) ; NOTE: It does not override specific assignments in SystemVerilog ; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal" ; in the [vsim] section can override this value. ; SVCovergroupTypeGoalDefault = 100; Specify the override for the default value of "strobe" option for the ; Covergroup Type. This is a compile time option which forces "strobe" to ; a user specified default value and supersedes SystemVerilog specified ; default value of '0'(zero). NOTE: This can be overriden by a runtime ; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section. ; SVCovergroupStrobeDefault = 0; Specify the override for the default value of "per_instance" option for the ; Covergroup variables. This is a compile time option which forces "per_instance" ; to a user specified default value and supersedes SystemVerilog specified ; default value of '0'(zero). ; SVCovergroupPerInstanceDefault = 0; Specify the override for the default value of "get_inst_coverage" option for the ; Covergroup variables. This is a compile time option which forces ; "get_inst_coverage" to a user specified default value and supersedes ; SystemVerilog specified default value of '0'(zero). ; SVCovergroupGetInstCoverageDefault = 0; ; A space separated list of resource libraries that contain precompiled ; packages. The behavior is identical to using the "-L" switch. ; ; LibrarySearchPath = <path/lib> [<path/lib> ...] LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact; The behavior is identical to the "-mixedansiports" switch. Default is off. ; MixedAnsiPorts = 1; Enable SystemVerilog 3.1a $typeof() function. Default is off. ; EnableTypeOf = 1; Only allow lower case pragmas. Default is disabled. ; AcceptLowerCasePragmaOnly = 1; Set the maximum depth permitted for a recursive include file nesting. ; IncludeRecursionDepthMax = 5; Turn ON detection of FSMs having single bit current state variable. ; FsmSingle = 1; Turn off reset state transitions in FSM. ; FsmResetTrans = 0; Turn off detections of FSMs having x-assignment. ; FsmXAssign = 0; Turn ON detection of FSM Implicit Transitions. ; FsmImplicitTrans = 1; List of file suffixes which will be read as SystemVerilog. White space ; in extensions can be specified with a back-slash: "\ ". Back-slashes ; can be specified with two consecutive back-slashes: "\\"; ; SvFileSuffixes = sv svp svh; This setting is the same as the vlog -sv command line switch. ; Enables SystemVerilog features and keywords when true (1). ; When false (0), the rules of IEEE Std 1364-2001 are followed and ; SystemVerilog keywords are ignored. ; Svlog = 0; Prints attribute placed upon SV packages during package import ; when true (1). The attribute will be ignored when this ; entry is false (0). The attribute name is "package_load_message". ; The value of this attribute is a string literal. ; Default is true (1). ; PrintSVPackageLoadingAttribute = 1; Do not show immediate assertions with constant expressions in ; GUI/reports/UCDB etc. By default immediate assertions with constant ; expressions are shown in GUI/reports/UCDB etc. This does not affect ; evaluation of immediate assertions. ; ShowConstantImmediateAsserts = 0; Controls if untyped parameters that are initialized with values greater ; than 2147483647 are mapped to generics of type INTEGER or ignored. ; If mapped to VHDL Integers, values greater than 2147483647 ; are mapped to negative values. ; Default is to map these parameter to generic of type INTEGER ; ForceUnsignedToVHDLInteger = 1; Enable AMS wreal (wired real) extensions. Default is 0. ; WrealType = 1; Controls SystemVerilog Language Extensions. These options enable ; some non-LRM compliant behavior. Valid extensions are: ; "acum", "atpi", "catx", "daoa", "feci", "fin0", "idcl", ; "iddp", "pae", "sccts", "spsl", "stop0", "udm0", and "uslt". ; SvExtensions = uslt,spsl,sccts; Generate symbols debugging database in only some special cases to save on ; the number of files in the library. For other design-units, this database is ; generated on-demand in vsim. ; Default is to to generate debugging database for all design-units. ; SmartDbgSym = 1; Controls how $unit library entries are named. Valid options are: ; "file" (generate name based on the first file on the command line) ; "du" (generate name based on first design unit following an item ; found in $unit scope) ; CUAutoName = file; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[sccom] ; Enable use of SCV include files and library. Default is off. ; UseScv = 1; Add C++ compiler options to the sccom command line by using this variable. ; CppOptions = -g; Use custom C++ compiler located at this path rather than the default path. ; The path should point directly at a compiler executable. ; CppPath = /usr/bin/g++; Specify the compiler version from the list of support GNU compilers. ; examples 4.3.3, 4.5.0 ; CppInstall = 4.5.0; Enable verbose messages from sccom. Default is off. ; SccomVerbose = 1; sccom logfile. Default is no logfile. ; SccomLogfile = sccom.log; Enable use of SC_MS include files and library. Default is off. ; UseScMs = 1; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off. ; Sc22Mode = 1; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[vopt] ; Turn on code coverage in vopt. Default is off. ; Coverage = sbceft; Control compiler optimizations that are allowed when ; code coverage is on. Refer to the comment for this in the [vlog] area. ; CoverOpt = 3; Increase or decrease the maximum number of rows allowed in a UDP table ; implementing a VHDL condition coverage or expression coverage expression. ; More rows leads to a longer compile time, but more expressions covered. ; CoverMaxUDPRows = 192; Increase or decrease the maximum number of input patterns that are present ; in FEC table. This leads to a longer compile time with more expressions ; covered with FEC metric. ; CoverMaxFECRows = 192; Increase or decrease the limit on the size of expressions and conditions ; considered for expression and condition coverages. Higher FecUdpEffort leads ; to higher compile, optimize and simulation time, but more expressions and ; conditions are considered for coverage in the design. FecUdpEffort can ; be set to a number ranging from 1 (low) to 3 (high), defined as: ; 1 - (low) Only small expressions and conditions considered for coverage. ; 2 - (medium) Bigger expressions and conditions considered for coverage. ; 3 - (high) Very large expressions and conditions considered for coverage. ; The default setting is 1 (low). ; FecUdpEffort = 1; Enable code coverage reporting of code that has been optimized away. ; The default is not to report. ; CoverReportCancelled = 1; Enable deglitching of code coverage in combinatorial, non-clocked, processes. ; Default is no deglitching. ; CoverDeglitchOn = 1; Enable compiler statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; Control the code coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a ; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; CoverDeglitchPeriod = 0; Do not show immediate assertions with constant expressions in ; GUI/reports/UCDB etc. By default immediate assertions with constant ; expressions are shown in GUI/reports/UCDB etc. This does not affect ; evaluation of immediate assertions. ; ShowConstantImmediateAsserts = 0; Set the maximum number of iterations permitted for a generate loop. ; Restricting this permits the implementation to recognize infinite ; generate loops. ; GenerateLoopIterationMax = 100000; Set the maximum depth permitted for a recursive generate instantiation. ; Restricting this permits the implementation to recognize infinite ; recursions. ; GenerateRecursionDepthMax = 200; Set the number of processes created during the code generation phase. ; By default a heuristic is used to set this value. This may be set to 0 ; to disable this feature completely. ; ParallelJobs = 0 ; Controls SystemVerilog Language Extensions. These options enable ; some non-LRM compliant behavior. Valid extensions are "feci", ; "pae", "uslt", "spsl", "fin0" and "sccts". ; SvExtensions = uslt,spsl,sccts; Load the specified shared objects with the RTLD_GLOBAL flag. ; This gives global visibility to all symbols in the shared objects, ; meaning that subsequently loaded shared objects can bind to symbols ; in the global shared objects. The list of shared objects should ; be whitespace delimited. This option is not supported on the ; Windows or AIX platforms. ; GlobalSharedObjectList = example1.so example2.so example3.so; Disable SystemVerilog elaboration system task messages ; IgnoreSVAInfo = 1 ; IgnoreSVAWarning = 1 ; IgnoreSVAError = 1 ; IgnoreSVAFatal = 1; Enable or disable automatic creation of missing libraries. ; Default is 1 (enabled) ; CreateLib = 1[vsim] ; vopt flow ; Set to turn on automatic optimization of a design. ; Default is on VoptFlow = 1; Simulator resolution ; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100. Resolution = ps; Disable certain code coverage exclusions automatically. ; Assertions and FSM are exluded from the code coverage by default ; Set AutoExclusionsDisable = fsm to enable code coverage for fsm ; Set AutoExclusionsDisable = assertions to enable code coverage for assertions ; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions ; Or specify comma or space separated list ;AutoExclusionsDisable = fsm,assertions; User time unit for run commands ; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the ; unit specified for Resolution. For example, if Resolution is 100ps, ; then UserTimeUnit defaults to ps. ; Should generally be set to default. UserTimeUnit = default; Default run length RunLength = 100; Maximum iterations that can be run without advancing simulation time IterationLimit = 20000; Specify libraries to be searched for precompiled modules ; LibrarySearchPath = <path/lib> [<path/lib> ...]; Set XPROP assertion fail limit. Default is 5. ; Any positive integer, -1 for infinity. ; XpropAssertionLimit = 5; Control PSL and Verilog Assume directives during simulation ; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts ; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts ; SimulateAssumeDirectives = 1 ; Control the simulation of PSL and SVA ; These switches can be overridden by the vsim command line switches: ; -psl, -nopsl, -sva, -nosva. ; Set SimulatePSL = 0 to disable PSL simulation ; Set SimulatePSL = 1 to enable PSL simulation (default) ; SimulatePSL = 1 ; Set SimulateSVA = 0 to disable SVA simulation ; Set SimulateSVA = 1 to enable concurrent SVA simulation (default) ; SimulateSVA = 1 ; Control SVA and VHDL immediate assertion directives during simulation ; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts ; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts ; SimulateImmedAsserts = 1 ; License feature mappings for Verilog and VHDL ; qhsimvh Single language VHDL license ; qhsimvl Single language Verilog license ; msimhdlsim Language neutral license for either Verilog or VHDL ; msimhdlmix Second language only, language neutral license for either ; Verilog or VHDL ; ; Directives to license manager can be set either as single value or as ; space separated multi-values: ; vhdl Immediately checkout and hold a VHDL license (i.e., one of ; qhsimvh, msimhdlsim, or msimhdlmix) ; vlog Immediately checkout and hold a Verilog license (i.e., one of ; qhsimvl, msimhdlsim, or msimhdlmix) ; plus Immediately checkout and hold a VHDL license and a Verilog license ; noqueue Do not wait in the license queue when a license is not available ; viewsim Try for viewer license but accept simulator license(s) instead ; of queuing for viewer license (PE ONLY) ; noviewer Disable checkout of msimviewer license feature (PE ONLY) ; noslvhdl Disable checkout of qhsimvh license feature ; noslvlog Disable checkout of qhsimvl license feature ; nomix Disable checkout of msimhdlmix license feature ; nolnl Disable checkout of msimhdlsim license feature ; mixedonly Disable checkout of qhsimvh and qhsimvl license features ; lnlonly Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features ; ; Examples (remove ";" comment character to activate licensing directives): ; Single directive: ; License = plus ; Multi-directive (Note: space delimited directives): ; License = noqueue plus; Severity level of a VHDL assertion message or of a SystemVerilog severity system task ; which will cause a running simulation to stop. ; VHDL assertions and SystemVerilog severity system task that occur with the ; given severity or higher will cause a running simulation to stop. ; This value is ignored during elaboration. ; 0 = Note 1 = Warning 2 = Error 3 = Failure 4 = Fatal BreakOnAssertion = 3; Severity level of a tool message which will cause a running simulation to ; stop. This value is ignored during elaboration. Default is to not break. ; 0 = Note 1 = Warning 2 = Error 3 = Fatal ;BreakOnMessage = 2; The class debug feature enables more visibility and tracking of class instances ; during simulation. By default this feature is disabled (0). To enable this ; feature set ClassDebug to 1. ; ClassDebug = 1; Message Format conversion specifications: ; %S - Severity Level of message/assertion ; %R - Text of message ; %T - Time of message ; %D - Delta value (iteration number) of Time ; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected ; %i - Instance/Region/Signal pathname with Process name (if available) ; %I - shorthand for one of these: ; " %K: %i" ; " %K: %i File: %F" (when path is not Process or Signal) ; except that the %i in this case does not report the Process name ; %O - Process name ; %P - Instance/Region path without leaf process ; %F - File name ; %L - Line number; if assertion message, then line number of assertion or, if ; assertion is in a subprogram, line from which the call is made ; %u - Design unit name in form library.primary ; %U - Design unit name in form library.primary(secondary) ; %% - The '%' character itself ; ; If specific format for Severity Level is defined, use that format. ; Else, for a message that occurs during elaboration: ; -- Failure/Fatal message in VHDL region that is not a Process, and in ; certain non-VHDL regions, uses MessageFormatBreakLine; ; -- Failure/Fatal message otherwise uses MessageFormatBreak; ; -- Note/Warning/Error message uses MessageFormat. ; Else, for a message that occurs during runtime and triggers a breakpoint because ; of the BreakOnAssertion setting: ; -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine; ; -- otherwise uses MessageFormatBreak. ; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat. ; ; MessageFormatNote = "** %S: %R\n Time: %T Iteration: %D%I\n" ; MessageFormatWarning = "** %S: %R\n Time: %T Iteration: %D%I\n" ; MessageFormatError = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormatFail = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormatFatal = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormatBreakLine = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F Line: %L\n" ; MessageFormatBreak = "** %S: %R\n Time: %T Iteration: %D %K: %i File: %F\n" ; MessageFormat = "** %S: %R\n Time: %T Iteration: %D%I\n"; Error File - alternate file for storing error messages ; ErrorFile = error.log; Simulation Breakpoint messages ; This flag controls the display of function names when reporting the location ; where the simulator stops because of a breakpoint or fatal error. ; Example with function name: # Break in Process ctr at counter.vhd line 44 ; Example without function name: # Break at counter.vhd line 44 ; Default value is 1. ShowFunctions = 1; Default radix for all windows and commands. ; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned ; Flags may be one of: enumnumeric, showbase DefaultRadix = hexadecimal DefaultRadixFlags = showbase ; Set to 1 for make the signal_force VHDL and Verilog functions use the ; default radix when processing the force value. Prior to 10.2 signal_force ; used the default radix, now it always uses symbolic unless value explicitly indicates base ;SignalForceFunctionUseDefaultRadix = 0; VSIM Startup command ; Startup = do startup.do; VSIM Shutdown file ; Filename to save u/i formats and configurations. ; ShutdownFile = restart.do ; To explicitly disable auto save: ; ShutdownFile = --disable-auto-save; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified. ; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0. ; BatchMode = 1; File for saving command transcript when -batch option used ; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero ; default is unset so command transcript only goes to stdout for better performance ; BatchTranscriptFile = transcript; File for saving command transcript, this option is ignored when -batch option is used TranscriptFile = transcript; File for saving command history ; CommandHistory = cmdhist.log; Specify whether paths in simulator commands should be described ; in VHDL or Verilog format. ; For VHDL, PathSeparator = / ; For Verilog, PathSeparator = . ; Must not be the same character as DatasetSeparator. PathSeparator = /; Specify the dataset separator for fully rooted contexts. ; The default is ':'. For example: sim:/top ; Must not be the same character as PathSeparator. DatasetSeparator = :; Specify a unique path separator for the Signal Spy set of functions. ; The default will be to use the PathSeparator variable. ; Must not be the same character as DatasetSeparator. ; SignalSpyPathSeparator = /; Used to control parsing of HDL identifiers input to the tool. ; This includes CLI commands, vsim/vopt/vlog/vcom options, ; string arguments to FLI/VPI/DPI calls, etc. ; If set to 1, accept either Verilog escaped Id syntax or ; VHDL extended id syntax, regardless of source language. ; If set to 0, the syntax of the source language must be used. ; Each identifier in a hierarchical name may need different syntax, ; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or ; "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom" ; GenerousIdentifierParsing = 1; Disable VHDL assertion messages ; IgnoreNote = 1 ; IgnoreWarning = 1 ; IgnoreError = 1 ; IgnoreFailure = 1; Disable SystemVerilog assertion messages ; IgnoreSVAInfo = 1 ; IgnoreSVAWarning = 1 ; IgnoreSVAError = 1 ; IgnoreSVAFatal = 1; Do not print any additional information from Severity System tasks. ; Only the message provided by the user is printed along with severity ; information. ; SVAPrintOnlyUserMessage = 1;; Default force kind. May be freeze, drive, deposit, or default ; or in other terms, fixed, wired, or charged. ; A value of "default" will use the signal kind to determine the ; force kind, drive for resolved signals, freeze for unresolved signals ; DefaultForceKind = freeze; Control the iteration of events when a VHDL signal is forced to a value ; This flag can be set to honour the signal update event in next iteration, ; the default is to update and propagate in the same iteration. ; ForceSigNextIter = 1; Enable simulation statistics. Specify one or more arguments: ; [all,none,time,cmd,msg,perf,verbose,list,kb,eor] ; Add '-' to disable specific statistics. Default is [time,cmd,msg]. ; Stats = time,cmd,msg; If zero, open files when elaborated; otherwise, open files on ; first read or write. Default is 0. ; DelayFileOpen = 1; Control VHDL files opened for write. ; 0 = Buffered, 1 = Unbuffered UnbufferedOutput = 0; Control the number of VHDL files open concurrently. ; This number should always be less than the current ulimit ; setting for max file descriptors. ; 0 = unlimited ConcurrentFileLimit = 40; If nonzero, close files as soon as there is either an explicit call to ; file_close, or when the file variable's scope is closed. When zero, a ; file opened in append mode is not closed in case it is immediately ; reopened in append mode; otherwise, the file will be closed at the ; point it is reopened. ; AppendClose = 1; Control the number of hierarchical regions displayed as ; part of a signal name shown in the Wave window. ; A value of zero tells VSIM to display the full name. ; The default is 0. ; WaveSignalNameWidth = 0; Turn off warnings when changing VHDL constants and generics ; Default is 1 to generate warning messages ; WarnConstantChange = 0; Turn off warnings from accelerated versions of the std_logic_arith, ; std_logic_unsigned, and std_logic_signed packages. ; StdArithNoWarnings = 1; Turn off warnings from accelerated versions of the IEEE numeric_std ; and numeric_bit packages. ; NumericStdNoWarnings = 1; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names ; in the design hierarchy. ; This style is controlled by the value of the GenerateFormat ; value described next. Default is to use new-style names, which ; comprise the generate statement label, '(', the value of the generate ; parameter, and a closing ')'. ; Set this to 1 to use old-style names. ; OldVhdlForGenNames = 1; Control the format of the old-style VHDL FOR generate statement region ; name for each iteration. Do not quote the value. ; The format string here must contain the conversion codes %s and %d, ; in that order, and no other conversion codes. The %s represents ; the generate statement label; the %d represents the generate parameter value ; at a particular iteration (this is the position number if the generate parameter ; is of an enumeration type). Embedded whitespace is allowed (but discouraged); ; leading and trailing whitespace is ignored. ; Application of the format must result in a unique region name over all ; loop iterations for a particular immediately enclosing scope so that name ; lookup can function properly. The default is %s__%d. ; GenerateFormat = %s__%d; Enable more efficient logging of VHDL Variables. ; Logging VHDL variables without this enabled, while possible, is very ; inefficient. Enabling this will provide a more efficient logging methodology ; at the expense of more memory usage. By default this feature is disabled (0). ; To enabled this feature, set this variable to 1. ; VhdlVariableLogging = 1; Enable logging of VHDL access type variables and their designated objects. ; This setting will allow both variables of an access type ("access variables") ; and their designated objects ("access objects") to be logged. Logging a ; variable of an access type will automatically also cause the designated ; object(s) of that variable to be logged as the simulation progresses. ; Further, enabling this allows access objects to be logged by name. By default ; this feature is disabled (0). To enable this feature, set this variable to 1. ; Enabling this will automatically enable the VhdlVariableLogging feature also. ; AccessObjDebug = 1; Make each VHDL package in a PDU has its own separate copy of the package instead ; of sharing the package between PDUs. The default is to share packages. ; To ensure that each PDU has its own set of packages, set this variable to 1. ; VhdlSeparatePduPackage = 1; Specify whether checkpoint files should be compressed. ; The default is 1 (compressed). ; CheckpointCompressMode = 0; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper. ; Use custom gcc compiler located at this path rather than the default path. ; The path should point directly at a compiler executable. ; DpiCppPath = <your-gcc-installation>/bin/gcc; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls. ; The term "out-of-the-blue" refers to SystemVerilog export function calls ; made from C functions that don't have the proper context setup ; (as is the case when running under "DPI-C" import functions). ; When this is enabled, one can call a DPI export function ; (but not task) from any C code. ; the setting of this variable can be one of the following values: ; 0 : dpioutoftheblue call is disabled (default) ; 1 : dpioutoftheblue call is enabled, but export call debug support is not available. ; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available. ; DpiOutOfTheBlue = 1; Specify whether continuous assignments are run before other normal priority ; processes scheduled in the same iteration. This event ordering minimizes race ; differences between optimized and non-optimized designs, and is the default ; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set ; ImmediateContinuousAssign to 0. ; The default is 1 (enabled). ; ImmediateContinuousAssign = 0; List of dynamically loaded objects for Verilog PLI applications ; Veriuser = veriuser.sl; Which default VPI object model should the tool conform to? ; The 1364 modes are Verilog-only, for backwards compatibility with older ; libraries, and SystemVerilog objects are not available in these modes. ; ; In the absence of a user-specified default, the tool default is the ; latest available LRM behavior. ; Options for PliCompatDefault are: ; VPI_COMPATIBILITY_VERSION_1364v1995 ; VPI_COMPATIBILITY_VERSION_1364v2001 ; VPI_COMPATIBILITY_VERSION_1364v2005 ; VPI_COMPATIBILITY_VERSION_1800v2005 ; VPI_COMPATIBILITY_VERSION_1800v2008 ; ; Synonyms for each string are also recognized: ; VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995) ; VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001) ; VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005) ; VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005) ; VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008); PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005; Specify whether the Verilog system task $fopen or vpi_mcd_open() ; will create directories that do not exist when opening the file ; in "a" or "w" mode. ; The default is 0 (do not create non-existent directories) ; CreateDirForFileAccess = 1; Specify default options for the restart command. Options can be one ; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions ; DefaultRestartOptions = -force; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used. ; Valid options include: all, none, verbose, disable, struct, msglog, trlog, certe. ; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-". ; The list of options must be delimited by commas, without spaces or tabs. ; The default is UVMControl = struct; Some examples ; To turn on all available UVM-aware debug features: ; UVMControl = all ; To turn on the struct window, mesage logging, and transaction logging: ; UVMControl = struct,msglog,trlog ; To turn on all options except certe: ; UVMControl = all,-certe ; To completely disable all UVM-aware debug functionality: ; UVMControl = disable; Specify the WildcardFilter setting. ; A space separated list of object types to be excluded when performing ; wildcard matches with log, wave, etc commands. The default value for this variable is: ; "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile" ; See "Using the WildcardFilter Preference Variable" in the documentation for ; details on how to use this variable and for descriptions of the filter types. WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile; Specify the WildcardSizeThreshold setting. ; This integer setting specifies the size at which objects will be excluded when ; performing wildcard matches with log, wave, etc commands. Objects of size equal ; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard ; matches. The size is a simple calculation of number of bits or items in the object. ; The default value is 8k (8192). Setting this value to 0 will disable the checking ; of object size against this threshold and allow all objects of any size to be logged. WildcardSizeThreshold = 8192; Specify whether warning messages are output when objects are filtered out due to the ; WildcardSizeThreshold. The default is 0 (no messages generated). WildcardSizeThresholdVerbose = 0; Turn on (1) or off (0) WLF file compression. ; The default is 1 (compress WLF file). ; WLFCompress = 0; Specify whether to save all design hierarchy (1) in the WLF file ; or only regions containing logged signals (0). ; The default is 0 (save only regions with logged signals). ; WLFSaveAllRegions = 1; WLF file time limit. Limit WLF file by time, as closely as possible, ; to the specified amount of simulation time. When the limit is exceeded ; the earliest times get truncated from the file. ; If both time and size limits are specified the most restrictive is used. ; UserTimeUnits are used if time units are not specified. ; The default is 0 (no limit). Example: WLFTimeLimit = {100 ms} ; WLFTimeLimit = 0; WLF file size limit. Limit WLF file size, as closely as possible, ; to the specified number of megabytes. If both time and size limits ; are specified then the most restrictive is used. ; The default is 0 (no limit). ; WLFSizeLimit = 1000; Specify whether or not a WLF file should be deleted when the ; simulation ends. A value of 1 will cause the WLF file to be deleted. ; The default is 0 (do not delete WLF file when simulation ends). ; WLFDeleteOnQuit = 1; Specify whether or not a WLF file should be optimized during ; simulation. If set to 0, the WLF file will not be optimized. ; The default is 1, optimize the WLF file. ; WLFOptimize = 0; Specify the name of the WLF file. ; The default is vsim.wlf ; WLFFilename = vsim.wlf; Specify whether to lock the WLF file. ; Locking the file prevents other invocations of ModelSim/Questa tools from ; inadvertently overwriting the WLF file. ; The default is 1, lock the WLF file. ; WLFFileLock = 0; Specify the update interval for the WLF file in live simulation. ; The interval is given in seconds. ; The value is the smallest interval between WLF file updates. The WLF file ; will be flushed (updated) after (at least) the interval has elapsed, ensuring ; that the data is correct when viewed from a separate viewer. ; A value of 0 means that no updating will occur. ; The default value is 10 seconds. ; WLFUpdateInterval = 10; Specify the WLF cache size limit for WLF files. ; The value is given in megabytes. A value of 0 turns off the cache. ; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes). ; On Windows, the default value is 1000 (megabytes) to help to avoid filling ; process memory. ; WLFSimCacheSize allows a different cache size to be set for a live simulation ; WLF file, independent of post-simulation WLF file viewing. If WLFSimCacheSize ; is not set, it defaults to the WLFCacheSize value. ; WLFCacheSize = 2000 ; WLFSimCacheSize = 500; Specify the WLF file event collapse mode. ; 0 = Preserve all events and event order. (same as -wlfnocollapse) ; 1 = Only record values of logged objects at the end of a simulator iteration. ; (same as -wlfcollapsedelta) ; 2 = Only record values of logged objects at the end of a simulator time step. ; (same as -wlfcollapsetime) ; The default is 1. ; WLFCollapseMode = 0; Specify whether WLF file logging can use threads on multi-processor machines. ; If 0, no threads will be used; if 1, threads will be used if the system has ; more than one processor. ; WLFUseThreads = 1; Specify the size of objects that will trigger "large object" messages ; at log/wave/list time. The size calculation of the object is the same as that ; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000. ; Setting LargeObjectSize to 0 will disable these messages. ; LargeObjectSize = 500000; Specify the depth of stack frames returned by $stacktrace([level]). ; This depth will be picked up when the optional 'level' argument ; is not specified or its value is not a positive integer. ; StackTraceDepth = 100; Turn on/off undebuggable SystemC type warnings. Default is on. ; ShowUndebuggableScTypeWarning = 0; Turn on/off unassociated SystemC name warnings. Default is off. ; ShowUnassociatedScNameWarning = 1; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off. ; ScShowIeeeDeprecationWarnings = 1; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off. ; ScEnableScSignalWriteCheck = 1; Set SystemC default time unit. ; Set to fs, ps, ns, us, ms, or sec with optional ; prefix of 1, 10, or 100. The default is 1 ns. ; The ScTimeUnit value is honored if it is coarser than Resolution. ; If ScTimeUnit is finer than Resolution, it is set to the value ; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns, ; then the default time unit will be 1 ns. However if Resolution ; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns. ScTimeUnit = ns; Set SystemC sc_main stack size. The stack size is set as an integer ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or ; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends ; on the amount of data on the sc_main() stack and the memory required ; to succesfully execute the longest function call chain of sc_main(). ScMainStackSize = 10 Mb; Set SystemC thread stack size. The stack size is set as an integer ; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or ; Gb(Giga-byte). The stack size for sc_thread depends ; on the amount of data on the sc_thread stack and the memory required ; to succesfully execute the thread. ; ScStackSize = 1 Mb; Turn on/off execution of remainder of sc_main upon quitting the current ; simulation session. If the cumulative length of sc_main() in terms of ; simulation time units is less than the length of the current simulation ; run upon quit or restart, sc_main() will be in the middle of execution. ; This switch gives the option to execute the remainder of sc_main upon ; quitting simulation. The drawback of not running sc_main till the end ; is memory leaks for objects created by sc_main. If on, the remainder of ; sc_main will be executed ignoring all delays. This may cause the simulator ; to crash if the code in sc_main is dependent on some simulation state. ; Default is on. ScMainFinishOnQuit = 1; Set the SCV relationship name that will be used to identify phase ; relations. If the name given to a transactor relation matches this ; name, the transactions involved will be treated as phase transactions ScvPhaseRelationName = mti_phase; Customize the vsim kernel shutdown behavior at the end of the simulation. ; Some common causes of the end of simulation are $finish (implicit or explicit), ; sc_stop(), tf_dofinish(), and assertion failures. ; This should be set to "ask", "exit", or "stop". The default is "ask". ; "ask" -- In batch mode, the vsim kernel will abruptly exit. ; In GUI mode, a dialog box will pop up and ask for user confirmation ; whether or not to quit the simulation. ; "stop" -- Cause the simulation to stay loaded in memory. This can make some ; post-simulation tasks easier. ; "exit" -- The simulation will abruptly exit without asking for any confirmation. ; "final" -- Run SystemVerilog final blocks then behave as "stop". ; Note: This variable can be overridden with the vsim "-onfinish" command line switch. OnFinish = ask; Print pending deferred assertion messages. ; Deferred assertion messages may be scheduled after the $finish in the same ; time step. Deferred assertions scheduled to print after the $finish are ; printed before exiting with severity level NOTE since it's not known whether ; the assertion is still valid due to being printed in the active region ; instead of the reactive region where they are normally printed. ; OnFinishPendingAssert = 1;; Print "simstats" result. Default is 0. ; 0 == do not print simstats ; 1 == print at end of simulation ; 2 == print at end of each run command and end of simulation ; PrintSimStats = 1; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages ; AssertFile = assert.log; Enable assertion counts. Default is off. ; AssertionCover = 1; Run simulator in assertion debug mode. Default is off. ; AssertionDebug = 1; Turn on/off PSL/SVA/VHDL assertion enable. Default is on. ; AssertionEnable = 0; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1. ; Any positive integer, -1 for infinity. ; AssertionLimit = 1; Turn on/off concurrent assertion pass log. Default is off. ; Assertion pass logging is only enabled when assertion is browseable ; and assertion debug is enabled. ; AssertionPassLog = 1; Turn on/off PSL concurrent assertion fail log. Default is on. ; The flag does not affect SVA ; AssertionFailLog = 0; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode. Default is on. ; AssertionFailLocalVarLog = 0; Set action type for PSL/SVA concurrent assertion fail action. Default is continue. ; 0 = Continue 1 = Break 2 = Exit ; AssertionFailAction = 1; Enable the active thread monitor in the waveform display when assertion debug is enabled. ; AssertionActiveThreadMonitor = 1; Control how many waveform rows will be used for displaying the active threads. Default is 5. ; AssertionActiveThreadMonitorLimit = 5; Assertion thread limit after which assertion would be killed/switched off. ; The default is -1 (unlimited). If the number of threads for an assertion go ; beyond this limit, the assertion would be either switched off or killed. This ; limit applies to only assert directives. ;AssertionThreadLimit = -1; Action to be taken once the assertion thread limit is reached. Default ; is kill. It can have a value of off or kill. In case of kill, all the existing ; threads are terminated and no new attempts are started. In case of off, the ; existing attempts keep on evaluating but no new attempts are started. This ; variable applies to only assert directives. ;AssertionThreadLimitAction = kill; Cover thread limit after which cover would be killed/switched off. ; The default is -1 (unlimited). If the number of threads for a cover go ; beyond this limit, the cover would be either switched off or killed. This ; limit applies to only cover directives. ;CoverThreadLimit = -1; Action to be taken once the cover thread limit is reached. Default ; is kill. It can have a value of off or kill. In case of kill, all the existing ; threads are terminated and no new attempts are started. In case of off, the ; existing attempts keep on evaluating but no new attempts are started. This ; variable applies to only cover directives. ;CoverThreadLimitAction = kill; By default immediate assertions do not participate in Assertion Coverage calculations ; unless they are executed. This switch causes all immediate assertions in the design ; to participate in Assertion Coverage calculations, whether attempted or not. ; UnattemptedImmediateAssertions = 0; By default immediate covers participate in Coverage calculations ; whether they are attempted or not. This switch causes all unattempted ; immediate covers in the design to stop participating in Coverage ; calculations. ; UnattemptedImmediateCovers = 0; By default pass action block is not executed for assertions on vacuous ; success. The following variable is provided to enable execution of ; pass action block on vacuous success. The following variable is only effective ; if the user does not disable pass action block execution by using either ; system tasks or CLI. Also there is a performance penalty for enabling ; the following variable. ;AssertionEnableVacuousPassActionBlock = 1; As per strict 1850-2005 PSL LRM, an always property can either pass ; or fail. However, by default, Questa reports multiple passes and ; multiple fails on top always/never property (always/never operator ; is the top operator under Verification Directive). The reason ; being that Questa reports passes and fails on per attempt of the ; top always/never property. Use the following flag to instruct ; Questa to strictly follow LRM. With this flag, all assert/never ; directives will start an attempt once at start of simulation. ; The attempt can either fail, match or match vacuously. ; For e.g. if always is the top operator under assert, the always will ; keep on checking the property at every clock. If the property under ; always fails, the directive will be considered failed and no more ; checking will be done for that directive. A top always property, ; if it does not fail, will show a pass at end of simulation. ; The default value is '0' (i.e. zero is off). For example: ; PslOneAttempt = 1; Specify the number of clock ticks to represent infinite clock ticks. ; This affects eventually!, until! and until_!. If at End of Simulation ; (EOS) an active strong-property has not clocked this number of ; clock ticks then neither pass or fail (vacuous match) is returned ; else respective fail/pass is returned. The default value is '0' (zero) ; which effectively does not check for clock tick condition. For example: ; PslInfinityThreshold = 5000; Control how many thread start times will be preserved for ATV viewing for a given assertion ; instance. Default is -1 (ALL). ; ATVStartTimeKeepCount = -1; Turn on/off code coverage ; CodeCoverage = 0; This option applies to condition and expression coverage UDP tables. It ; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp. ; If this option is used and a match occurs in more than one row in the UDP table, ; none of the counts for all matching rows is incremented. By default, counts are ; incremented for all matching rows. ; CoverCountAll = 1; Turn off automatic inclusion of VHDL integers in toggle coverage. Default ; is to include them. ; ToggleNoIntegers = 1; Set the maximum number of values that are collected for toggle coverage of ; VHDL integers. Default is 100; ; ToggleMaxIntValues = 100; Set the maximum number of values that are collected for toggle coverage of ; Verilog real. Default is 100; ; ToggleMaxRealValues = 100; Turn on automatic inclusion of Verilog integers in toggle coverage, except ; for enumeration types. Default is to include them. ; ToggleVlogIntegers = 0; Turn on automatic inclusion of Verilog real type in toggle coverage, except ; for shortreal types. Default is to not include them. ; ToggleVlogReal = 1; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays ; and VHDL arrays-of-arrays in toggle coverage. ; Default is to not include them. ; ToggleFixedSizeArray = 1; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays, ; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage. ; This leads to a longer simulation time with bigger arrays covered with toggle coverage. ; Default is 1024. ; ToggleMaxFixedSizeArray = 1024; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized ; one-dimensional packed vectors for toggle coverage. Default is 0. ; TogglePackedAsVec = 0; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for ; toggle coverage. Default is 0. ; ToggleVlogEnumBits = 0; Turn off automatic inclusion of VHDL records in toggle coverage. ; Default is to include them. ; ToggleVHDLRecords = 0; Limit the widths of registers automatically tracked for toggle coverage. Default is 128. ; For unlimited width, set to 0. ; ToggleWidthLimit = 128; Limit the counts that are tracked for toggle coverage. When all edges for a bit have ; reached this count, further activity on the bit is ignored. Default is 1. ; For unlimited counts, set to 0. ; ToggleCountLimit = 1; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3. ; Following is the toggle coverage calculation criteria based on extended toggle mode: ; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z'). ; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'. ; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions. ; ExtendedToggleMode = 3; Enable toggle statistics collection only for ports. Default is 0. ; TogglePortsOnly = 1; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has ; reached this count, further tracking of the input patterns linked to it is ignored. ; Default is 1. For unlimited counts, set to 0. ; NOTE: Changing this value from its default value may affect simulation performance. ; FecCountLimit = 1; Limit the counts that are tracked for UDP Coverage. When a bin has ; reached this count, further tracking of the input patterns linked to it is ignored. ; Default is 1. For unlimited counts, set to 0. ; NOTE: Changing this value from its default value may affect simulation performance. ; UdpCountLimit = 1; Control toggle coverage deglitching period. A period of 0, eliminates delta ; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either ; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps". ; ToggleDeglitchPeriod = 10.0ps; Turn on/off all PSL/SVA cover directive enables. Default is on. ; CoverEnable = 0; Turn on/off PSL/SVA cover log. Default is off "0". ; CoverLog = 1; Set "at_least" value for all PSL/SVA cover directives. Default is 1. ; CoverAtLeast = 2; Set "limit" value for all PSL/SVA cover directives. Default is -1. ; Any positive integer, -1 for infinity. ; CoverLimit = 1; Specify the coverage database filename. ; Default is "" (i.e. database is NOT automatically saved on close). ; UCDBFilename = vsim.ucdb; Specify the maximum limit for the number of Cross (bin) products reported ; in XML and UCDB report against a Cross. A warning is issued if the limit ; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this ; setting. ; MaxReportRhsSVCrossProducts = 1000; Specify the override for the "auto_bin_max" option for the Covergroups. ; If not specified then value from Covergroup "option" is used. ; SVCoverpointAutoBinMax = 64; Specify the override for the value of "cross_num_print_missing" ; option for the Cross in Covergroups. If not specified then value ; specified in the "option.cross_num_print_missing" is used. This ; is a runtime option. NOTE: This overrides any "cross_num_print_missing" ; value specified by user in source file and any SVCrossNumPrintMissingDefault ; specified in modelsim.ini. ; SVCrossNumPrintMissing = 0; Specify whether to use the value of "cross_num_print_missing" ; option in report and GUI for the Cross in Covergroups. If not specified then ; cross_num_print_missing is ignored for creating reports and displaying ; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing". ; UseSVCrossNumPrintMissing = 0; Specify the threshold of Coverpoint wildcard bin value range size, above which ; a warning will be triggered. The default is 4K -- 12 wildcard bits. ; SVCoverpointWildCardBinValueSizeWarn = 4096; Specify the override for the value of "strobe" option for the ; Covergroup Type. If not specified then value in "type_option.strobe" ; will be used. This is runtime option which forces "strobe" to ; user specified value and supersedes user specified values in the ; SystemVerilog Code. NOTE: This also overrides the compile time ; default value override specified using "SVCovergroupStrobeDefault" ; SVCovergroupStrobe = 0; Override for explicit assignments in source code to "option.goal" of ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the ; default value of "option.goal" (defined to be 100 in the SystemVerilog ; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault". ; SVCovergroupGoal = 100; Override for explicit assignments in source code to "type_option.goal" of ; SystemVerilog covergroup, coverpoint, and cross. It also overrides the ; default value of "type_option.goal" (defined to be 100 in the SystemVerilog ; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault". ; SVCovergroupTypeGoal = 100; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage() ; builtin functions, and report. This setting changes the default values of ; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3 ; behavior if explicit assignments are not made on option.get_inst_coverage and ; type_option.merge_instances by the user. There are two vsim command line ; options, -cvg63 and -nocvg63 to override this setting from vsim command line. ; The default value of this variable from release 6.6 onwards is 0. This default ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. ; SVCovergroup63Compatibility = 0; Enforce the default behavior of covergroup get_coverage() builtin function, GUI ; and report. This variable sets the default value of type_option.merge_instances. ; There are two vsim command line options, -cvgmergeinstances and ; -nocvgmergeinstances to override this setting from vsim command line. ; The default value of this variable is 0. This default ; drives compliance with the clarified behavior in the IEEE 1800-2009 standard. ; SVCovergroupMergeInstancesDefault = 0; Enable or disable generation of more detailed information about the sampling ; of covergroup, cross, and coverpoints. It provides the details of the number ; of times the covergroup instance and type were sampled, as well as details ; about why covergroup, cross and coverpoint were not covered. A non-zero value ; is to enable this feature. 0 is to disable this feature. Default is 0 ; SVCovergroupSampleInfo = 0; Specify the maximum number of Coverpoint bins in whole design for ; all Covergroups. ; MaxSVCoverpointBinsDesign = 2147483648 ; Specify maximum number of Coverpoint bins in any instance of a Covergroup ; MaxSVCoverpointBinsInst = 2147483648; Specify the maximum number of Cross bins in whole design for ; all Covergroups. ; MaxSVCrossBinsDesign = 2147483648 ; Specify maximum number of Cross bins in any instance of a Covergroup ; MaxSVCrossBinsInst = 2147483648; Specify whether vsim will collect the coverage data of zero-weight coverage items or not. ; By default, this variable is set 0, in which case option.no_collect setting will take effect. ; If this variable is set to 1, all zero-weight coverage items will not be saved. ; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting ; of this variable. ; CvgZWNoCollect = 1; Specify a space delimited list of double quoted TCL style ; regular expressions which will be matched against the text of all messages. ; If any regular expression is found to be contained within any message, the ; status for that message will not be propagated to the UCDB TESTSTATUS. ; If no match is detected, then the status will be propagated to the ; UCDB TESTSTATUS. More than one such regular expression text is allowed, ; and each message text is compared for each regular expression in the list. ; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" ; Set weight for all PSL/SVA cover directives. Default is 1. ; CoverWeight = 2; Check vsim plusargs. Default is 0 (off). ; 0 = Don't check plusargs ; 1 = Warning on unrecognized plusarg ; 2 = Error and exit on unrecognized plusarg ; CheckPlusargs = 1; Load the specified shared objects with the RTLD_GLOBAL flag. ; This gives global visibility to all symbols in the shared objects, ; meaning that subsequently loaded shared objects can bind to symbols ; in the global shared objects. The list of shared objects should ; be whitespace delimited. This option is not supported on the ; Windows or AIX platforms. ; GlobalSharedObjectList = example1.so example2.so example3.so; Generate the stub definitions for the undefined symbols in the shared libraries being ; loaded in the simulation. When this flow is turned on, the undefined symbols will not ; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error. ; The valid arguments are: on, off, verbose. ; on : turn on the automatic generation of stub definitions. ; off: turn off the flow. The undefined symbols will trigger an immediate load failure. ; verbose: Turn on the flow and report the undefined symbols for each shared library. ; NOTE: This variable can be overriden with vsim switch "-undefsyms". ; The default is off. ; ; UndefSyms = on; Initial seed for the random number generator of the root thread (SystemVerilog). ; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch. ; The default value is 0. ; Sv_Seed = 0; Specify the solver "engine" that vsim will select for constrained random ; generation. ; Valid values are: ; "auto" - automatically select the best engine for the current ; constraint scenario ; "bdd" - evaluate all constraint scenarios using the BDD solver engine ; "act" - evaluate all constraint scenarios using the ACT solver engine ; While the BDD solver engine is generally efficient with constraint scenarios ; involving bitwise logical relationships, the ACT solver engine can exhibit ; superior performance with constraint scenarios involving large numbers of ; random variables related via arithmetic operators (+, *, etc). ; NOTE: This variable can be overridden with the vsim "-solveengine" command ; line switch. ; The default value is "auto". ; SolveEngine = auto; Specify if the solver should attempt to ignore overflow/underflow semantics ; for arithmetic constraints (multiply, addition, subtraction) in order to ; improve performance. The "solveignoreoverflow" attribute can be specified on ; a per-call basis to randomize() to override this setting. ; The default value is 0 (overflow/underflow is not ignored). Set to 1 to ; ignore overflow/underflow. ; SolveIgnoreOverflow = 0; Specifies the maximum size that a dynamic array may be resized to by the ; solver. If the solver attempts to resize a dynamic array to a size greater ; than the specified limit, the solver will abort with an error. ; The default value is 10000. A value of 0 indicates no limit. ; SolveArrayResizeMax = 10000; Error message severity when randomize() failure is detected (SystemVerilog). ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal ; The default is 0 (no error). ; SolveFailSeverity = 0; Error message severity for suppressible errors that are detected in a ; solve/before constraint. ; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity" ; command line switch. ; 0 = No error 1 = Warning 2 = Error 3 = Failure 4 = Fatal ; The default is 3 (failure). ; SolveBeforeErrorSeverity = 3; Enable/disable debug information for randomize() failures. ; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command ; line switch. ; The default is 0 (disabled). Set to 1 to enable basic debug (with no ; performance penalty). Set to 2 for enhanced debug (will result in slower ; runtime performance). ; SolveFailDebug = 0; Upon encountering a randomize() failure, generate a simplified testcase that ; will reproduce the failure. Optionally output the testcase to a file. ; Testcases for 'no-solution' failures will only be produced if SolveFailDebug ; is enabled (see above). ; NOTE: This variable can be overridden with the vsim "-solvefailtestcase" ; command line switch. ; The default is OFF (do not generate a testcase). To enable testcase ; generation, uncomment this variable. To redirect testcase generation to a ; file, specify the name of the output file. ; SolveFailTestcase = ; Specify the maximum size of the solution graph generated by the BDD solver. ; This value can be used to force the BDD solver to abort the evaluation of a ; complex constraint scenario that cannot be evaluated with finite memory. ; This value is specified in 1000s of nodes. ; The default value is 10000. A value of 0 indicates no limit. ; SolveGraphMaxSize = 10000; Specify the maximum number of evaluations that may be performed on the ; solution graph by the BDD solver. This value can be used to force the BDD ; solver to abort the evaluation of a complex constraint scenario that cannot ; be evaluated in finite time. This value is specified in 10000s of evaluations. ; The default value is 10000. A value of 0 indicates no limit. ; SolveGraphMaxEval = 10000; Specify the maximum number of tests that the ACT solver may evaluate before ; abandoning an attempt to solve a particular constraint scenario. ; The default value is 2000000. A value of 0 indicates no limit. ; SolveACTMaxTests = 2000000; Specify the maximum number of operations that the ACT solver may perform ; before abandoning an attempt to solve a particular constraint scenario. The ; value is specified in 1000000s of operations. ; The default value is 10000. A value of 0 indicates no limit. ; SolveACTMaxOps = 10000; Specify the number of times the ACT solver will retry to evaluate a constraint ; scenario that fails due to the SolveACTMax[Tests|Ops] threshold. ; The default value is 0 (no retry). ; SolveACTRetryCount = 0; Specify random sequence compatiblity with a prior letter release. This ; option is used to get the same random sequences during simulation as ; as a prior letter release. Only prior letter releases (of the current ; number release) are allowed. ; NOTE: Only those random sequence changes due to solver optimizations are ; reverted by this variable. Random sequence changes due to solver bugfixes ; cannot be un-done. ; NOTE: This variable can be overridden with the vsim "-solverev" command ; line switch. ; Default value set to "" (no compatibility). ; SolveRev =; Environment variable expansion of command line arguments has been depricated ; in favor shell level expansion. Universal environment variable expansion ; inside -f files is support and continued support for MGC Location Maps provide ; alternative methods for handling flexible pathnames. ; The following line may be uncommented and the value set to 1 to re-enable this ; deprecated behavior. The default value is 0. ; DeprecatedEnvironmentVariableExpansion = 0; Specify the memory threshold for the System Verilog garbage collector. ; The value is the number of megabytes of class objects that must accumulate ; before the garbage collector is run. ; The GCThreshold setting is used when class debug mode is disabled to allow ; less frequent garbage collection and better simulation performance. ; The GCThresholdClassDebug setting is used when class debug mode is enabled ; to allow for more frequent garbage collection. ; GCThreshold = 100 ; GCThresholdClassDebug = 5; Turn on/off collapsing of bus ports in VCD dumpports output DumpportsCollapse = 1; Location of Multi-Level Verification Component (MVC) installation. ; The default location is the product installation directory. MvcHome = $MODEL_TECH/..; Location of InFact installation. The default is $MODEL_TECH/../../infact ; ; InFactHome = $MODEL_TECH/../../infact; Initialize SystemVerilog enums using the base type's default value ; instead of the leftmost value. ; EnumBaseInit = 1; Suppress file type registration. ; SuppressFileTypeReg = 1; Controls SystemVerilog Language Extensions. These options enable ; some non-LRM compliant behavior. Valid extensions are "cfce", ; SvExtensions = cfce; Controls the formatting of '%p' and '%P' conversion specification, used in $display ; and similar system tasks. ; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. ; The 'I' flag when present causes relevant data types to be expanded and indented into ; a more readable format. ; (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level). ; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines. ; (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines). ; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters. ; (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters). ; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes ; (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure). ; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes ; (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array). ; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>. ; (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5). ; 7. Items 1-6 above can be combined as a comma separated list. ; (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5) ; SVPrettyPrintFlags=I4S[lmc] ; The simulator's interface to Logic Modeling's SmartModel SWIFT software libsm = $MODEL_TECH/libsm.sl ; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT) ; libsm = $MODEL_TECH/libsm.dll ; Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700) ; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl ; Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000) ; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o ; Logic Modeling's SmartModel SWIFT software (Sun4 Solaris) ; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so ; Logic Modeling's SmartModel SWIFT software (Windows NT) ; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll ; Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux) ; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so ; Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux) ; libswift = $LMC_HOME/lib/linux.lib/libswift.so; The simulator's interface to Logic Modeling's hardware modeler SFI software libhm = $MODEL_TECH/libhm.sl ; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT) ; libhm = $MODEL_TECH/libhm.dll ; Logic Modeling's hardware modeler SFI software (HP 9000 Series 700) ; libsfi = <sfi_dir>/lib/hp700/libsfi.sl ; Logic Modeling's hardware modeler SFI software (IBM RISC System/6000) ; libsfi = <sfi_dir>/lib/rs6000/libsfi.a ; Logic Modeling's hardware modeler SFI software (Sun4 Solaris) ; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so ; Logic Modeling's hardware modeler SFI software (Windows NT) ; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll ; Logic Modeling's hardware modeler SFI software (Linux) ; libsfi = <sfi_dir>/lib/linux/libsfi.so[msg_system] ; Change a message severity or suppress a message. ; The format is: <msg directive> = <msg number>[,<msg number>...] ; suppress can be used to achieve +nowarn<CODE> functionality ; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...] ; Examples: suppress = 8780 ;an explanation can be had by running: verror 8780 ; note = 3009 ; warning = 3033 ; error = 3010,3016 ; fatal = 3016,3033 ; suppress = 3009,3016,3601 ; suppress = 3009,CNNODP,3601,TFMPC ; suppress = 8683,8684 ; The command verror <msg number> can be used to get the complete ; description of a message.; Control transcripting of Verilog display system task messages and ; PLI/FLI print function call messages. The system tasks include ; $display[bho], $strobe[bho], $monitor[bho], and $write[bho]. They ; also include the analogous file I/O tasks that write to STDOUT ; (i.e. $fwrite or $fdisplay). The PLI/FLI calls include io_printf, ; vpi_printf, mti_PrintMessage, and mti_PrintFormatted. The default ; is to have messages appear only in the transcript. The other ; settings are to send messages to the wlf file only (messages that ; are recorded in the wlf file can be viewed in the MsgViewer) or ; to both the transcript and the wlf file. The valid values are ; tran {transcript only (default)} ; wlf {wlf file only} ; both {transcript and wlf file} ; displaymsgmode = tran; Control transcripting of elaboration/runtime messages not ; addressed by the displaymsgmode setting. The default is to ; have messages appear only in the transcript. The other settings ; are to send messages to the wlf file only (messages that are ; recorded in the wlf file can be viewed in the MsgViewer) or to both ; the transcript and the wlf file. The valid values are ; tran {transcript only (default)} ; wlf {wlf file only} ; both {transcript and wlf file} ; msgmode = tran; Controls number of displays of a particluar message ; default value is 5 ; MsgLimitCount = 5[utils] ; Default Library Type (while creating a library with "vlib") ; 0 - legacy library using subdirectories for design units ; 2 - flat library ; DefaultLibType = 2; Flat Library Page Size (while creating a library with "vlib") ; Set the size in bytes for flat library file pages. Libraries containing ; very large files may benefit from a larger value. ; FlatLibPageSize = 8192; Flat Library Page Cleanup Percentage (while creating a library with "vlib") ; Set the percentage of total pages deleted before library cleanup can occur. ; This setting is applied together with FlatLibPageDeleteThreshold. ; FlatLibPageDeletePercentage = 50; Flat Library Page Cleanup Threshold (while creating a library with "vlib") ; Set the number of pages deleted before library cleanup can occur. ; This setting is applied together with FlatLibPageDeletePercentage. ; FlatLibPageDeleteThreshold = 1000

總結

以上是生活随笔為你收集整理的modelsim加入xilinx ISE库的方法的全部內容,希望文章能夠幫你解決所遇到的問題。

如果覺得生活随笔網站內容還不錯,歡迎將生活随笔推薦給好友。

88国产精品欧美一区二区三区 | 免费无码午夜福利片69 | 大地资源中文第3页 | 国产人妻久久精品二区三区老狼 | 麻花豆传媒剧国产免费mv在线 | 香港三级日本三级妇三级 | 成人精品天堂一区二区三区 | 国产精品嫩草久久久久 | 成人一区二区免费视频 | 亚洲国产成人av在线观看 | 97资源共享在线视频 | 亚洲中文字幕va福利 | 特级做a爰片毛片免费69 | 国产成人无码av一区二区 | 人人爽人人爽人人片av亚洲 | 中文字幕+乱码+中文字幕一区 | 日本又色又爽又黄的a片18禁 | 思思久久99热只有频精品66 | 极品尤物被啪到呻吟喷水 | 丰满人妻精品国产99aⅴ | 欧美乱妇无乱码大黄a片 | 久久人人爽人人人人片 | 色五月丁香五月综合五月 | 精品乱码久久久久久久 | 暴力强奷在线播放无码 | 亚洲狠狠色丁香婷婷综合 | 久青草影院在线观看国产 | 精品久久久久香蕉网 | 图片小说视频一区二区 | 久久久中文久久久无码 | 少妇高潮喷潮久久久影院 | 永久免费观看美女裸体的网站 | 成人精品一区二区三区中文字幕 | 国产精品国产自线拍免费软件 | 精品无码国产一区二区三区av | 精品人妻av区 | 欧洲欧美人成视频在线 | 国产在线aaa片一区二区99 | 欧美老人巨大xxxx做受 | 77777熟女视频在线观看 а天堂中文在线官网 | 草草网站影院白丝内射 | 国产精品99爱免费视频 | 国产无套内射久久久国产 | 在教室伦流澡到高潮hnp视频 | 一本久久a久久精品vr综合 | 88国产精品欧美一区二区三区 | 欧美人与物videos另类 | 亚洲国产欧美国产综合一区 | 欧美老熟妇乱xxxxx | 欧美野外疯狂做受xxxx高潮 | 99精品无人区乱码1区2区3区 | 国产av无码专区亚洲a∨毛片 | 亚洲综合色区中文字幕 | 中文精品久久久久人妻不卡 | 一本色道婷婷久久欧美 | 天天躁日日躁狠狠躁免费麻豆 | 十八禁视频网站在线观看 | 日欧一片内射va在线影院 | 国产精品无码一区二区三区不卡 | 亚洲精品久久久久中文第一幕 | 无码国内精品人妻少妇 | 天堂无码人妻精品一区二区三区 | 亚洲一区二区三区含羞草 | 亚洲一区二区三区无码久久 | 国产成人无码av片在线观看不卡 | 精品无码一区二区三区爱欲 | 欧美35页视频在线观看 | 成人无码精品一区二区三区 | 亚洲精品国偷拍自产在线麻豆 | 成年女人永久免费看片 | 图片小说视频一区二区 | 妺妺窝人体色www在线小说 | 成年美女黄网站色大免费视频 | 成人一在线视频日韩国产 | 99久久久无码国产精品免费 | 99精品国产综合久久久久五月天 | 一二三四社区在线中文视频 | 久青草影院在线观看国产 | 成人影院yy111111在线观看 | 色婷婷综合中文久久一本 | 日韩无套无码精品 | 久久99精品久久久久久 | 久久人人97超碰a片精品 | 亚洲精品一区二区三区在线观看 | 红桃av一区二区三区在线无码av | 欧美真人作爱免费视频 | 无码任你躁久久久久久久 | 又粗又大又硬又长又爽 | 国产超碰人人爽人人做人人添 | 性做久久久久久久免费看 | 帮老师解开蕾丝奶罩吸乳网站 | 久久久久亚洲精品中文字幕 | 十八禁真人啪啪免费网站 | 国内综合精品午夜久久资源 | 日本免费一区二区三区最新 | 亚洲日韩中文字幕在线播放 | 亚洲а∨天堂久久精品2021 | 扒开双腿疯狂进出爽爽爽视频 | 乌克兰少妇xxxx做受 | 丝袜美腿亚洲一区二区 | 亚洲码国产精品高潮在线 | 国产精品无套呻吟在线 | 女人被男人躁得好爽免费视频 | 日日摸夜夜摸狠狠摸婷婷 | 精品国产一区二区三区av 性色 | 久久熟妇人妻午夜寂寞影院 | 亚洲欧美色中文字幕在线 | 国语精品一区二区三区 | 色一情一乱一伦一视频免费看 | 1000部啪啪未满十八勿入下载 | 最近的中文字幕在线看视频 | 欧美成人午夜精品久久久 | 亚洲热妇无码av在线播放 | 欧洲精品码一区二区三区免费看 | 亚洲综合精品香蕉久久网 | 国产sm调教视频在线观看 | 成熟女人特级毛片www免费 | 老司机亚洲精品影院无码 | 国产三级久久久精品麻豆三级 | 欧美 日韩 亚洲 在线 | 亚洲中文字幕乱码av波多ji | 超碰97人人做人人爱少妇 | 水蜜桃亚洲一二三四在线 | 蜜桃视频插满18在线观看 | 天天躁夜夜躁狠狠是什么心态 | av在线亚洲欧洲日产一区二区 | 久久99精品国产.久久久久 | 国产成人无码专区 | 在线播放无码字幕亚洲 | 欧美 日韩 亚洲 在线 | 国产精品无码永久免费888 | 国产成人无码av一区二区 | 中文字幕无线码免费人妻 | 日本又色又爽又黄的a片18禁 | 天堂а√在线地址中文在线 | 国产真实伦对白全集 | 亚洲熟妇色xxxxx欧美老妇y | 麻豆国产97在线 | 欧洲 | 国产无遮挡吃胸膜奶免费看 | 久久精品女人的天堂av | 亚洲va中文字幕无码久久不卡 | 国产女主播喷水视频在线观看 | 久久精品丝袜高跟鞋 | 日本一区二区更新不卡 | 国产精品对白交换视频 | 一本精品99久久精品77 | 精品午夜福利在线观看 | 在线欧美精品一区二区三区 | 亚洲性无码av中文字幕 | 兔费看少妇性l交大片免费 | 熟妇人妻无码xxx视频 | 乱人伦中文视频在线观看 | 国产亚洲精品久久久久久久 | 免费男性肉肉影院 | 国产成人亚洲综合无码 | 色偷偷av老熟女 久久精品人妻少妇一区二区三区 | 伦伦影院午夜理论片 | 中文字幕久久久久人妻 | 国产精品a成v人在线播放 | 亚洲国产精品久久久天堂 | 巨爆乳无码视频在线观看 | 人妻少妇精品无码专区二区 | 久久综合狠狠综合久久综合88 | 自拍偷自拍亚洲精品被多人伦好爽 | 亚洲综合无码一区二区三区 | 啦啦啦www在线观看免费视频 | 99久久人妻精品免费一区 | 男女下面进入的视频免费午夜 | 亚洲精品一区国产 | 中文精品久久久久人妻不卡 | 亚洲人亚洲人成电影网站色 | 国产精品多人p群无码 | 天天做天天爱天天爽综合网 | 中文字幕 人妻熟女 | 丰满人妻精品国产99aⅴ | 精品国产成人一区二区三区 | 久久aⅴ免费观看 | 免费人成在线观看网站 | 欧洲欧美人成视频在线 | 欧美老妇与禽交 | 欧美日韩一区二区综合 | 动漫av一区二区在线观看 | 精品欧美一区二区三区久久久 | 免费乱码人妻系列无码专区 | 中文字幕人妻无码一区二区三区 | 亚洲国产一区二区三区在线观看 | 中文字幕无码av激情不卡 | 一本色道久久综合狠狠躁 | 纯爱无遮挡h肉动漫在线播放 | 熟妇人妻中文av无码 | 99在线 | 亚洲 | 免费网站看v片在线18禁无码 | 国产免费久久久久久无码 | 亚洲熟妇色xxxxx欧美老妇y | 国产乱人无码伦av在线a | 亚洲熟妇色xxxxx欧美老妇y | 欧美35页视频在线观看 | 久久99精品久久久久久动态图 | 一二三四在线观看免费视频 | 小sao货水好多真紧h无码视频 | 亚洲精品一区二区三区大桥未久 | 人妻尝试又大又粗久久 | 天天燥日日燥 | 成年美女黄网站色大免费视频 | 秋霞成人午夜鲁丝一区二区三区 | 精品国产麻豆免费人成网站 | 欧美精品免费观看二区 | 色欲久久久天天天综合网精品 | 亚洲欧洲日本综合aⅴ在线 | 欧美35页视频在线观看 | 丰满少妇熟乱xxxxx视频 | 妺妺窝人体色www在线小说 | 欧美自拍另类欧美综合图片区 | 久久精品一区二区三区四区 | 一本久久伊人热热精品中文字幕 | 亚洲国产精品久久久久久 | 免费男性肉肉影院 | 无码av免费一区二区三区试看 | 精品国精品国产自在久国产87 | 久久 国产 尿 小便 嘘嘘 | 亚洲中文字幕在线观看 | 无码吃奶揉捏奶头高潮视频 | 夜精品a片一区二区三区无码白浆 | 国产精品美女久久久 | 亚洲自偷自拍另类第1页 | aⅴ在线视频男人的天堂 | 无码人妻精品一区二区三区下载 | 九九综合va免费看 | 欧美精品一区二区精品久久 | 俄罗斯老熟妇色xxxx | 欧美精品在线观看 | 又湿又紧又大又爽a视频国产 | 成人无码视频在线观看网站 | 日韩精品a片一区二区三区妖精 | 亚洲 高清 成人 动漫 | 亚洲精品综合一区二区三区在线 | 国产在线一区二区三区四区五区 | 日韩视频 中文字幕 视频一区 | 天天躁日日躁狠狠躁免费麻豆 | 性欧美熟妇videofreesex | 精品成人av一区二区三区 | 2020最新国产自产精品 | 久久精品国产一区二区三区肥胖 | 夜夜高潮次次欢爽av女 | 久久婷婷五月综合色国产香蕉 | 久久久中文字幕日本无吗 | 97色伦图片97综合影院 | 国产精品久久久久久亚洲毛片 | 国产成人无码av在线影院 | 动漫av一区二区在线观看 | 色一情一乱一伦一区二区三欧美 | 亚洲精品一区二区三区在线 | 亚洲精品中文字幕乱码 | 亚洲精品无码国产 | 东京无码熟妇人妻av在线网址 | 窝窝午夜理论片影院 | 性色欲情网站iwww九文堂 | 性欧美videos高清精品 | 麻豆国产丝袜白领秘书在线观看 | 成人欧美一区二区三区 | 高清国产亚洲精品自在久久 | 高潮喷水的毛片 | 无码人妻丰满熟妇区五十路百度 | 欧美 日韩 亚洲 在线 | 亚洲娇小与黑人巨大交 | 中文字幕人妻丝袜二区 | 午夜时刻免费入口 | 1000部夫妻午夜免费 | 国产成人精品优优av | 亚洲伊人久久精品影院 | 大屁股大乳丰满人妻 | 亚洲成av人在线观看网址 | 欧美肥老太牲交大战 | 国产一区二区三区精品视频 | 欧美丰满熟妇xxxx性ppx人交 | 色婷婷香蕉在线一区二区 | 51国偷自产一区二区三区 | 午夜理论片yy44880影院 | 久久久久国色av免费观看性色 | 宝宝好涨水快流出来免费视频 | 亚洲人成人无码网www国产 | 色综合久久久久综合一本到桃花网 | 欧美日本精品一区二区三区 | 亚洲区欧美区综合区自拍区 | 日本精品少妇一区二区三区 | 国产成人久久精品流白浆 | 亚洲综合色区中文字幕 | 欧美午夜特黄aaaaaa片 | 在线 国产 欧美 亚洲 天堂 | 老子影院午夜伦不卡 | av无码电影一区二区三区 | 国产凸凹视频一区二区 | 任你躁国产自任一区二区三区 | 久久久久免费看成人影片 | 黄网在线观看免费网站 | 国产亚洲日韩欧美另类第八页 | 午夜理论片yy44880影院 | 国产色xx群视频射精 | 乱人伦中文视频在线观看 | 真人与拘做受免费视频 | 欧美成人午夜精品久久久 | 图片小说视频一区二区 | 久久人人爽人人人人片 | 亚洲天堂2017无码 | 久久久精品成人免费观看 | 国产超碰人人爽人人做人人添 | 国产精品多人p群无码 | 丁香花在线影院观看在线播放 | 国产av人人夜夜澡人人爽麻豆 | 性欧美大战久久久久久久 | 久久久久99精品成人片 | 99久久精品国产一区二区蜜芽 | 成 人 免费观看网站 | 国产另类ts人妖一区二区 | 帮老师解开蕾丝奶罩吸乳网站 | √8天堂资源地址中文在线 | 丰满人妻被黑人猛烈进入 | 377p欧洲日本亚洲大胆 | 牲欲强的熟妇农村老妇女视频 | 久久久久久国产精品无码下载 | 自拍偷自拍亚洲精品被多人伦好爽 | 好爽又高潮了毛片免费下载 | 国产精品久免费的黄网站 | 一本加勒比波多野结衣 | 日本乱偷人妻中文字幕 | 俺去俺来也www色官网 | 国语自产偷拍精品视频偷 | 宝宝好涨水快流出来免费视频 | 亚洲爆乳精品无码一区二区三区 | 中文字幕乱码亚洲无线三区 | 国产在热线精品视频 | 正在播放老肥熟妇露脸 | 天堂а√在线地址中文在线 | 俄罗斯老熟妇色xxxx | 国产热a欧美热a在线视频 | 成人三级无码视频在线观看 | 亚洲精品一区二区三区四区五区 | 高清不卡一区二区三区 | 极品嫩模高潮叫床 | 国产亚洲欧美日韩亚洲中文色 | 亚洲日韩中文字幕在线播放 | 国产精品久久久久久无码 | 日本一卡2卡3卡四卡精品网站 | 狠狠色丁香久久婷婷综合五月 | 欧美人妻一区二区三区 | 日日鲁鲁鲁夜夜爽爽狠狠 | 一本色道久久综合狠狠躁 | 人妻与老人中文字幕 | 久久久国产精品无码免费专区 | 东京无码熟妇人妻av在线网址 | 精品偷自拍另类在线观看 | 久久综合狠狠综合久久综合88 | 荫蒂被男人添的好舒服爽免费视频 | 亚洲乱码国产乱码精品精 | 日本饥渴人妻欲求不满 | 亚洲国产日韩a在线播放 | 在线天堂新版最新版在线8 | 又大又硬又爽免费视频 | 精品久久久中文字幕人妻 | 2020久久超碰国产精品最新 | 无套内射视频囯产 | 网友自拍区视频精品 | 欧美日本免费一区二区三区 | 久久久久亚洲精品中文字幕 | 中文字幕av日韩精品一区二区 | 激情五月综合色婷婷一区二区 | 午夜熟女插插xx免费视频 | 国产性生大片免费观看性 | 久久久久久国产精品无码下载 | 日韩av无码中文无码电影 | 性欧美疯狂xxxxbbbb | 成人精品视频一区二区三区尤物 | 亚洲人亚洲人成电影网站色 | 初尝人妻少妇中文字幕 | www国产精品内射老师 | 久久国产精品精品国产色婷婷 | 国产黄在线观看免费观看不卡 | 日韩精品无码免费一区二区三区 | 日本饥渴人妻欲求不满 | 国产性猛交╳xxx乱大交 国产精品久久久久久无码 欧洲欧美人成视频在线 | 丰满少妇弄高潮了www | 亚洲精品国产a久久久久久 | 极品嫩模高潮叫床 | 99久久精品无码一区二区毛片 | 又大又硬又爽免费视频 | 国産精品久久久久久久 | 六月丁香婷婷色狠狠久久 | 丰满人妻翻云覆雨呻吟视频 | 国产精品理论片在线观看 | 国产精品手机免费 | 国产精品18久久久久久麻辣 | 亚洲成av人影院在线观看 | 特大黑人娇小亚洲女 | 午夜男女很黄的视频 | 性啪啪chinese东北女人 | 女人被男人躁得好爽免费视频 | 1000部啪啪未满十八勿入下载 | 曰本女人与公拘交酡免费视频 | 天海翼激烈高潮到腰振不止 | 扒开双腿吃奶呻吟做受视频 | 欧美喷潮久久久xxxxx | 精品乱子伦一区二区三区 | 无码免费一区二区三区 | 蜜桃臀无码内射一区二区三区 | 亚洲熟妇色xxxxx欧美老妇 | 性欧美熟妇videofreesex | 国产真实乱对白精彩久久 | 久久久久久九九精品久 | 日韩精品乱码av一区二区 | 国语自产偷拍精品视频偷 | 荫蒂被男人添的好舒服爽免费视频 | 亚洲s码欧洲m码国产av | 青青久在线视频免费观看 | 国产成人av免费观看 | 给我免费的视频在线观看 | 久久精品99久久香蕉国产色戒 | 东京一本一道一二三区 | 少妇性荡欲午夜性开放视频剧场 | 大肉大捧一进一出好爽视频 | 亚洲中文无码av永久不收费 | 老头边吃奶边弄进去呻吟 | 久久精品国产精品国产精品污 | 久青草影院在线观看国产 | 国产免费久久精品国产传媒 | 高中生自慰www网站 | 最新国产乱人伦偷精品免费网站 | 国产特级毛片aaaaaaa高清 | 亚洲午夜无码久久 | 影音先锋中文字幕无码 | 国产一精品一av一免费 | 国产成人av免费观看 | 十八禁视频网站在线观看 | 小泽玛莉亚一区二区视频在线 | 77777熟女视频在线观看 а天堂中文在线官网 | 99久久精品午夜一区二区 | 综合网日日天干夜夜久久 | 国产成人一区二区三区在线观看 | 亚洲午夜无码久久 | 中文字幕乱码中文乱码51精品 | 高中生自慰www网站 | 国产亚洲精品久久久闺蜜 | 国模大胆一区二区三区 | 国产另类ts人妖一区二区 | 麻豆果冻传媒2021精品传媒一区下载 | 国产成人无码a区在线观看视频app | 国产明星裸体无码xxxx视频 | 日韩欧美群交p片內射中文 | 性欧美大战久久久久久久 | 国产又爽又猛又粗的视频a片 | 国内丰满熟女出轨videos | 无码人中文字幕 | 中文字幕无码免费久久99 | v一区无码内射国产 | 亚洲成色在线综合网站 | 成年美女黄网站色大免费全看 | 久久久久久亚洲精品a片成人 | 亚洲色偷偷偷综合网 | 亚洲精品一区二区三区婷婷月 | 国产在热线精品视频 | 色综合久久久久综合一本到桃花网 | 久久精品一区二区三区四区 | 久久久久久a亚洲欧洲av冫 | 亚洲精品一区三区三区在线观看 | aⅴ亚洲 日韩 色 图网站 播放 | 亚洲日韩av一区二区三区中文 | 麻豆av传媒蜜桃天美传媒 | 又大又硬又爽免费视频 | 精品无码国产自产拍在线观看蜜 | 亚洲va欧美va天堂v国产综合 | 奇米影视7777久久精品人人爽 | 久久久成人毛片无码 | 国产av一区二区三区最新精品 | 亚洲精品午夜国产va久久成人 | 人人妻人人澡人人爽精品欧美 | 67194成是人免费无码 | 国产精品资源一区二区 | 国产深夜福利视频在线 | 香蕉久久久久久av成人 | 六十路熟妇乱子伦 | 中文亚洲成a人片在线观看 | 无套内射视频囯产 | 欧美国产亚洲日韩在线二区 | 男女下面进入的视频免费午夜 | 国产精品人人妻人人爽 | 清纯唯美经典一区二区 | 国产麻豆精品精东影业av网站 | 女人被男人爽到呻吟的视频 | 国产超碰人人爽人人做人人添 | 国产精品无码一区二区桃花视频 | 国产精品久久精品三级 | 国产又爽又黄又刺激的视频 | 国产超级va在线观看视频 | 精品国产麻豆免费人成网站 | 欧洲精品码一区二区三区免费看 | 亚洲精品一区二区三区在线观看 | 成人影院yy111111在线观看 | 国产av人人夜夜澡人人爽麻豆 | 国产成人精品一区二区在线小狼 | 伊人久久大香线焦av综合影院 | 人人爽人人澡人人高潮 | 国产一区二区三区精品视频 | 国产无遮挡吃胸膜奶免费看 | 人妻无码αv中文字幕久久琪琪布 | 国产色视频一区二区三区 | 2019nv天堂香蕉在线观看 | 色综合久久久无码网中文 | 最新国产乱人伦偷精品免费网站 | 婷婷丁香六月激情综合啪 | 午夜肉伦伦影院 | 国产综合在线观看 | 精品亚洲韩国一区二区三区 | 亚洲国产午夜精品理论片 | 国产亚洲欧美在线专区 | 国产精品丝袜黑色高跟鞋 | 露脸叫床粗话东北少妇 | 成人精品视频一区二区 | 免费观看黄网站 | 亚洲国产精品无码一区二区三区 | 久久久国产精品无码免费专区 | 狠狠躁日日躁夜夜躁2020 | 国产成人无码午夜视频在线观看 | v一区无码内射国产 | 久久久精品欧美一区二区免费 | 精品久久久久香蕉网 | 特级做a爰片毛片免费69 | 久久久久亚洲精品男人的天堂 | 国产尤物精品视频 | 久久人妻内射无码一区三区 | 久久99精品久久久久久 | 国产性生大片免费观看性 | 亚洲aⅴ无码成人网站国产app | 色五月丁香五月综合五月 | 无人区乱码一区二区三区 | 国产色视频一区二区三区 | 伊人久久大香线蕉av一区二区 | 无码精品人妻一区二区三区av | 丰满护士巨好爽好大乳 | 久久国产精品精品国产色婷婷 | 亚洲人成无码网www | 国产精品香蕉在线观看 | 又大又紧又粉嫩18p少妇 | 性做久久久久久久免费看 | 日本一卡二卡不卡视频查询 | 人人爽人人澡人人高潮 | 67194成是人免费无码 | 男女下面进入的视频免费午夜 | 婷婷五月综合激情中文字幕 | 精品人妻人人做人人爽夜夜爽 | 国产成人综合美国十次 | 午夜精品一区二区三区的区别 | 久久午夜夜伦鲁鲁片无码免费 | 国产精品第一区揄拍无码 | 性欧美牲交在线视频 | 日本www一道久久久免费榴莲 | 国产农村乱对白刺激视频 | 亚洲国产精品美女久久久久 | 人人澡人人妻人人爽人人蜜桃 | 国产精品欧美成人 | 伊人久久婷婷五月综合97色 | 国产麻豆精品一区二区三区v视界 | 性欧美videos高清精品 | 精品无码av一区二区三区 | 成人毛片一区二区 | 强伦人妻一区二区三区视频18 | 日韩精品成人一区二区三区 | 动漫av一区二区在线观看 | 嫩b人妻精品一区二区三区 | 波多野结衣av在线观看 | 一个人免费观看的www视频 | 欧美xxxx黑人又粗又长 | 熟女俱乐部五十路六十路av | 久久亚洲精品成人无码 | 午夜无码人妻av大片色欲 | 国内精品久久久久久中文字幕 | 狠狠躁日日躁夜夜躁2020 | 欧美肥老太牲交大战 | 2020久久香蕉国产线看观看 | 老熟女重囗味hdxx69 | 1000部啪啪未满十八勿入下载 | 男女下面进入的视频免费午夜 | 亚洲成a人一区二区三区 | 久久精品中文字幕一区 | 国产成人无码一二三区视频 | 国产无套内射久久久国产 | 国产精品久久久久影院嫩草 | √天堂中文官网8在线 | 国产精品18久久久久久麻辣 | 国产97人人超碰caoprom | 无码乱肉视频免费大全合集 | 亚洲一区二区三区偷拍女厕 | 熟妇人妻无乱码中文字幕 | 熟妇人妻无乱码中文字幕 | 久久精品一区二区三区四区 | 丝袜美腿亚洲一区二区 | 一区二区传媒有限公司 | 九一九色国产 | 日韩人妻少妇一区二区三区 | 国产人妖乱国产精品人妖 | 久久aⅴ免费观看 | 亚洲精品一区二区三区婷婷月 | 性做久久久久久久免费看 | 国产成人无码av一区二区 | 久久精品人人做人人综合试看 | 2019nv天堂香蕉在线观看 | 久久成人a毛片免费观看网站 | 精品aⅴ一区二区三区 | 久久久无码中文字幕久... | 三级4级全黄60分钟 | 国产极品美女高潮无套在线观看 | 熟妇激情内射com | 亚洲乱码中文字幕在线 | 久久天天躁夜夜躁狠狠 | 在线天堂新版最新版在线8 | 国产免费观看黄av片 | 日韩欧美群交p片內射中文 | 99麻豆久久久国产精品免费 | 国产亚洲人成a在线v网站 | 国产热a欧美热a在线视频 | 亚洲男女内射在线播放 | 精品久久综合1区2区3区激情 | 99久久人妻精品免费一区 | 欧美老妇交乱视频在线观看 | 中文无码伦av中文字幕 | 红桃av一区二区三区在线无码av | 国产莉萝无码av在线播放 | 久久人人爽人人爽人人片av高清 | 久久亚洲中文字幕精品一区 | 99视频精品全部免费免费观看 | 日韩欧美中文字幕在线三区 | 精品人妻人人做人人爽 | 欧美野外疯狂做受xxxx高潮 | 国产福利视频一区二区 | 亚洲欧美综合区丁香五月小说 | 熟妇人妻无码xxx视频 | 久久精品国产一区二区三区 | 精品亚洲韩国一区二区三区 | 亚洲日本va中文字幕 | 九月婷婷人人澡人人添人人爽 | 中文字幕无码免费久久99 | 无码中文字幕色专区 | 欧美老妇与禽交 | 亚洲精品久久久久avwww潮水 | 少女韩国电视剧在线观看完整 | 久久99精品久久久久婷婷 | 搡女人真爽免费视频大全 | 色欲av亚洲一区无码少妇 | 亚洲gv猛男gv无码男同 | 国产尤物精品视频 | 国产无遮挡吃胸膜奶免费看 | 中文字幕乱码中文乱码51精品 | 波多野42部无码喷潮在线 | 精品日本一区二区三区在线观看 | 精品夜夜澡人妻无码av蜜桃 | 欧美35页视频在线观看 | 两性色午夜视频免费播放 | 国产精品爱久久久久久久 | 久久精品国产99久久6动漫 | 无码av最新清无码专区吞精 | 97se亚洲精品一区 | 日产精品高潮呻吟av久久 | 99riav国产精品视频 | 亚洲精品www久久久 | 午夜男女很黄的视频 | 丰满少妇高潮惨叫视频 | 少妇性l交大片 | 又紧又大又爽精品一区二区 | 又大又硬又黄的免费视频 | 真人与拘做受免费视频 | 久久精品视频在线看15 | 国内揄拍国内精品人妻 | 国产精品igao视频网 | 亚洲精品国偷拍自产在线观看蜜桃 | 无套内射视频囯产 | 久久这里只有精品视频9 | 日韩亚洲欧美精品综合 | 精品午夜福利在线观看 | 国产精品99久久精品爆乳 | 水蜜桃亚洲一二三四在线 | 成在人线av无码免观看麻豆 | 成 人影片 免费观看 | 在线天堂新版最新版在线8 | 无码人妻黑人中文字幕 | 欧美一区二区三区视频在线观看 | 国产精品久久久久7777 | 中文字幕色婷婷在线视频 | 免费男性肉肉影院 | 小鲜肉自慰网站xnxx | 日本成熟视频免费视频 | 狠狠色噜噜狠狠狠7777奇米 | 乱人伦中文视频在线观看 | 亚洲日韩av片在线观看 | 无码播放一区二区三区 | 中文无码成人免费视频在线观看 | 精品国产一区二区三区四区在线看 | 国产av久久久久精东av | 丰满岳乱妇在线观看中字无码 | 亚洲第一无码av无码专区 | 国产精品手机免费 | 男人和女人高潮免费网站 | 日韩人妻无码一区二区三区久久99 | 无码国模国产在线观看 | 中文字幕日产无线码一区 | 精品一区二区三区无码免费视频 | 波多野结衣 黑人 | 国产在线精品一区二区高清不卡 | 丝袜美腿亚洲一区二区 | 少妇高潮喷潮久久久影院 | 无遮挡国产高潮视频免费观看 | 欧美日韩一区二区综合 | 国产熟妇高潮叫床视频播放 | 亚洲日本va午夜在线电影 | 人妻少妇精品久久 | 国产亚洲欧美在线专区 | 高清不卡一区二区三区 | 久久视频在线观看精品 | 乱人伦中文视频在线观看 | 97久久超碰中文字幕 | 精品午夜福利在线观看 | 亚洲国产精品久久久久久 | 欧美阿v高清资源不卡在线播放 | 成人片黄网站色大片免费观看 | 免费无码肉片在线观看 | a片免费视频在线观看 | 久久久久久久久蜜桃 | 免费网站看v片在线18禁无码 | 老熟女重囗味hdxx69 | 狠狠色色综合网站 | 无码人妻精品一区二区三区不卡 | 国产午夜无码精品免费看 | 十八禁真人啪啪免费网站 | 色综合久久久无码网中文 | 中文字幕人成乱码熟女app | 国产成人av免费观看 | 久久久精品成人免费观看 | 欧美精品国产综合久久 | 中文字幕日产无线码一区 | 天堂а√在线地址中文在线 | 久久亚洲精品中文字幕无男同 | 丰满少妇熟乱xxxxx视频 | 丰满岳乱妇在线观看中字无码 | а天堂中文在线官网 | 国产精品久久久久久久9999 | 成年美女黄网站色大免费全看 | 福利一区二区三区视频在线观看 | 亚洲人成网站在线播放942 | 无码国产激情在线观看 | 欧美精品免费观看二区 | 日本在线高清不卡免费播放 | 久久久久免费看成人影片 | 亚洲色无码一区二区三区 | 亚洲精品国产第一综合99久久 | 极品尤物被啪到呻吟喷水 | 午夜不卡av免费 一本久久a久久精品vr综合 | 欧美放荡的少妇 | 久久综合狠狠综合久久综合88 | 奇米影视7777久久精品 | 成人无码视频在线观看网站 | 精品无码国产自产拍在线观看蜜 | 日韩人妻系列无码专区 | 激情爆乳一区二区三区 | 高中生自慰www网站 | 日韩精品成人一区二区三区 | 亚洲爆乳无码专区 | 久久久久久av无码免费看大片 | 久久精品一区二区三区四区 | 色婷婷综合中文久久一本 | 娇妻被黑人粗大高潮白浆 | 少妇邻居内射在线 | 欧美激情综合亚洲一二区 | 欧美激情一区二区三区成人 | 内射老妇bbwx0c0ck | 精品国产一区二区三区四区在线看 | 夜精品a片一区二区三区无码白浆 | 日本丰满熟妇videos | 九一九色国产 | 精品国产青草久久久久福利 | a在线亚洲男人的天堂 | 一个人看的视频www在线 | 亚洲国产欧美国产综合一区 | 亚洲精品综合一区二区三区在线 | 成人无码精品1区2区3区免费看 | 18精品久久久无码午夜福利 | 国产人妻人伦精品 | 欧美精品免费观看二区 | 暴力强奷在线播放无码 | 久久精品国产精品国产精品污 | 亚洲毛片av日韩av无码 | 亚洲精品国产品国语在线观看 | 免费人成网站视频在线观看 | 成人无码精品1区2区3区免费看 | 亚洲国产精品无码久久久久高潮 | 一本无码人妻在中文字幕免费 | 国产午夜亚洲精品不卡 | 四虎影视成人永久免费观看视频 | 蜜桃臀无码内射一区二区三区 | 亚洲欧美国产精品专区久久 | 国内精品人妻无码久久久影院 | 美女黄网站人色视频免费国产 | 天堂久久天堂av色综合 | 亚洲va中文字幕无码久久不卡 | 18禁止看的免费污网站 | 青青久在线视频免费观看 | 天天拍夜夜添久久精品大 | 国产成人综合在线女婷五月99播放 | 夜精品a片一区二区三区无码白浆 | 人妻少妇精品视频专区 | 人人妻人人澡人人爽人人精品 | 国产成人综合在线女婷五月99播放 | 丰满少妇女裸体bbw | 99久久婷婷国产综合精品青草免费 | 国产人成高清在线视频99最全资源 | 欧美日韩一区二区免费视频 | 欧美午夜特黄aaaaaa片 | 人人澡人摸人人添 | 欧美放荡的少妇 | 人妻少妇精品无码专区二区 | 久久无码专区国产精品s | 高中生自慰www网站 | 日本精品久久久久中文字幕 | 一本加勒比波多野结衣 | 国产亚洲欧美日韩亚洲中文色 | 俺去俺来也在线www色官网 | 欧美日韩人成综合在线播放 | 亚洲精品一区二区三区四区五区 | 婷婷五月综合缴情在线视频 | 国产莉萝无码av在线播放 | 高清不卡一区二区三区 | 精品一区二区不卡无码av | 国模大胆一区二区三区 | 欧美日韩视频无码一区二区三 | 99精品国产综合久久久久五月天 | 日日夜夜撸啊撸 | 女人高潮内射99精品 | 国产香蕉97碰碰久久人人 | 宝宝好涨水快流出来免费视频 | 久久精品中文字幕大胸 | 波多野结衣aⅴ在线 | 欧美日韩一区二区免费视频 | 欧美三级a做爰在线观看 | 国产精品理论片在线观看 | 荫蒂被男人添的好舒服爽免费视频 | 中文字幕乱码亚洲无线三区 | 麻豆av传媒蜜桃天美传媒 | 乱中年女人伦av三区 | 久久99久久99精品中文字幕 | 欧洲美熟女乱又伦 | 精品国产一区二区三区av 性色 | 99er热精品视频 | 中文字幕精品av一区二区五区 | 无码精品国产va在线观看dvd | 国产乡下妇女做爰 | 国内精品人妻无码久久久影院蜜桃 | 中文字幕无码日韩欧毛 | 国产特级毛片aaaaaa高潮流水 | 一本久道久久综合狠狠爱 | 亚洲成a人一区二区三区 | 国产成人精品三级麻豆 | 国产成人无码av在线影院 | 国产精品久久久久影院嫩草 | 国产熟妇高潮叫床视频播放 | 色婷婷欧美在线播放内射 | 成人aaa片一区国产精品 | 国产乱人无码伦av在线a | 国产激情艳情在线看视频 | 精品欧洲av无码一区二区三区 | 全黄性性激高免费视频 | 伊人色综合久久天天小片 | 成人试看120秒体验区 | 精品国产一区av天美传媒 | 国产特级毛片aaaaaa高潮流水 | 超碰97人人做人人爱少妇 | 在线天堂新版最新版在线8 | 性史性农村dvd毛片 | 色五月丁香五月综合五月 | 蜜桃臀无码内射一区二区三区 | 99在线 | 亚洲 | 无码人妻av免费一区二区三区 | 中文字幕+乱码+中文字幕一区 | 久久人妻内射无码一区三区 | 欧美日韩人成综合在线播放 | 小sao货水好多真紧h无码视频 | 亚洲热妇无码av在线播放 | 精品厕所偷拍各类美女tp嘘嘘 | 内射巨臀欧美在线视频 | 日韩欧美群交p片內射中文 | 四虎4hu永久免费 | 爆乳一区二区三区无码 | 精品 日韩 国产 欧美 视频 | 人妻人人添人妻人人爱 | 98国产精品综合一区二区三区 | 国产精品99爱免费视频 | 亚洲日本va午夜在线电影 | 亚洲成色www久久网站 | 99久久久无码国产精品免费 | 国产精品亚洲а∨无码播放麻豆 | 午夜成人1000部免费视频 | 蜜臀av在线播放 久久综合激激的五月天 | 国产另类ts人妖一区二区 | 亚洲第一无码av无码专区 | 无码中文字幕色专区 | 曰本女人与公拘交酡免费视频 | 亚洲国产一区二区三区在线观看 | 蜜桃无码一区二区三区 | 久久国产自偷自偷免费一区调 | 国产亚洲精品久久久久久久久动漫 | 国产欧美熟妇另类久久久 | 国产成人亚洲综合无码 | 兔费看少妇性l交大片免费 | 免费人成在线观看网站 | 一本久久伊人热热精品中文字幕 | 俺去俺来也在线www色官网 | 久久久精品欧美一区二区免费 | 天天摸天天透天天添 | 欧美日韩一区二区综合 | 精品无码一区二区三区爱欲 | 国产亚洲精品久久久久久久久动漫 | 国产精品亚洲五月天高清 | 亚洲精品中文字幕久久久久 | 麻豆精品国产精华精华液好用吗 | 日本爽爽爽爽爽爽在线观看免 | 丰满肥臀大屁股熟妇激情视频 | 久久综合香蕉国产蜜臀av | 2019nv天堂香蕉在线观看 | 成 人 免费观看网站 | 无码人妻精品一区二区三区不卡 | 国产乱子伦视频在线播放 | 亚洲日韩中文字幕在线播放 | 欧美激情综合亚洲一二区 | 日产精品高潮呻吟av久久 | 日本熟妇人妻xxxxx人hd | 久久久久久亚洲精品a片成人 | 成 人 网 站国产免费观看 | 性生交大片免费看女人按摩摩 | 中文字幕人成乱码熟女app | 日韩av无码中文无码电影 | a片在线免费观看 | 婷婷丁香五月天综合东京热 | 亚洲精品一区二区三区大桥未久 | 国产麻豆精品精东影业av网站 | 国产精品国产自线拍免费软件 | 蜜桃av蜜臀av色欲av麻 999久久久国产精品消防器材 | 女人被爽到呻吟gif动态图视看 | 天堂亚洲免费视频 | 久久久精品成人免费观看 | 乱人伦人妻中文字幕无码 | 人妻无码αv中文字幕久久琪琪布 | 成人欧美一区二区三区黑人免费 | 日本www一道久久久免费榴莲 | 亚洲国产精品一区二区第一页 | 狠狠色欧美亚洲狠狠色www | 国产免费无码一区二区视频 | 久久久久久av无码免费看大片 | 中文字幕中文有码在线 | 欧美性猛交内射兽交老熟妇 | 国产亚洲tv在线观看 | 久久婷婷五月综合色国产香蕉 | 精品国产国产综合精品 | 丁香啪啪综合成人亚洲 | 少妇人妻大乳在线视频 | 日韩人妻少妇一区二区三区 | 波多野结衣aⅴ在线 | 岛国片人妻三上悠亚 | аⅴ资源天堂资源库在线 | 婷婷综合久久中文字幕蜜桃三电影 | а√天堂www在线天堂小说 | 一个人看的www免费视频在线观看 | 蜜桃无码一区二区三区 | 日日摸夜夜摸狠狠摸婷婷 | 久久www免费人成人片 | 亚洲日韩乱码中文无码蜜桃臀网站 | 东京热一精品无码av | 99久久久国产精品无码免费 | 图片区 小说区 区 亚洲五月 | 久久无码人妻影院 | 国产精品高潮呻吟av久久4虎 | 网友自拍区视频精品 | 成人无码视频在线观看网站 | 任你躁国产自任一区二区三区 | 一本加勒比波多野结衣 | 欧美大屁股xxxxhd黑色 | 亚洲熟妇色xxxxx欧美老妇y | 偷窥村妇洗澡毛毛多 | 国产精品亚洲一区二区三区喷水 | 麻豆果冻传媒2021精品传媒一区下载 | 亚洲人成网站免费播放 | 国产精品多人p群无码 | 内射爽无广熟女亚洲 | 国产在线无码精品电影网 | 国产一区二区三区影院 | 国产精品久久国产三级国 | 性色欲网站人妻丰满中文久久不卡 | 日韩av无码一区二区三区不卡 | 天堂在线观看www | 亚洲高清偷拍一区二区三区 | 国产乱人偷精品人妻a片 | 日韩精品a片一区二区三区妖精 | 少女韩国电视剧在线观看完整 | 麻花豆传媒剧国产免费mv在线 | 亚洲精品国偷拍自产在线麻豆 | 网友自拍区视频精品 | 国产午夜无码视频在线观看 | 欧美性生交活xxxxxdddd | 亚洲熟女一区二区三区 | 男人的天堂av网站 | 久久精品人人做人人综合试看 | 色诱久久久久综合网ywww | 内射白嫩少妇超碰 | 成 人 网 站国产免费观看 | 国产午夜无码精品免费看 | 成熟人妻av无码专区 | av无码久久久久不卡免费网站 | 无码人妻出轨黑人中文字幕 | 中文字幕无码热在线视频 | 欧美人与善在线com | 亚洲乱码国产乱码精品精 | 国产福利视频一区二区 | 国产午夜精品一区二区三区嫩草 | 欧洲美熟女乱又伦 | 久久国产精品萌白酱免费 | 亚洲成a人片在线观看日本 | 久久五月精品中文字幕 | 亚洲成av人片在线观看无码不卡 | 国精品人妻无码一区二区三区蜜柚 | 国产手机在线αⅴ片无码观看 | 色妞www精品免费视频 | 国产成人无码av在线影院 | 国产精品香蕉在线观看 | 亚洲男人av香蕉爽爽爽爽 | 性欧美大战久久久久久久 | 国产午夜无码视频在线观看 | 国产亚洲精品久久久久久久久动漫 | 暴力强奷在线播放无码 | 噜噜噜亚洲色成人网站 | 无码成人精品区在线观看 | 1000部夫妻午夜免费 | 亚洲精品鲁一鲁一区二区三区 | 美女极度色诱视频国产 | 国产精品爱久久久久久久 | 亚洲a无码综合a国产av中文 | 偷窥日本少妇撒尿chinese | 久久国语露脸国产精品电影 | 蜜桃臀无码内射一区二区三区 | 黑人巨大精品欧美黑寡妇 | 东京无码熟妇人妻av在线网址 | 无码精品国产va在线观看dvd | 国产性生大片免费观看性 | 精品久久久久久人妻无码中文字幕 | 亚洲日本一区二区三区在线 | 欧美一区二区三区视频在线观看 | 亚洲精品国产a久久久久久 | 内射巨臀欧美在线视频 | 少女韩国电视剧在线观看完整 | 福利一区二区三区视频在线观看 | 欧美人与物videos另类 | 久久精品女人的天堂av | 2020最新国产自产精品 | 天天燥日日燥 | 99国产欧美久久久精品 | 国产av一区二区三区最新精品 | 亚洲中文字幕在线观看 | 内射老妇bbwx0c0ck | 国产又粗又硬又大爽黄老大爷视 | 亚洲 激情 小说 另类 欧美 | 在线欧美精品一区二区三区 | 日本xxxx色视频在线观看免费 | 欧美精品无码一区二区三区 | 国内精品人妻无码久久久影院 | 欧美黑人性暴力猛交喷水 | 欧美国产日韩亚洲中文 | 美女黄网站人色视频免费国产 | 九月婷婷人人澡人人添人人爽 | 无码av岛国片在线播放 | 欧美性猛交xxxx富婆 | 亚洲一区二区三区无码久久 | 免费视频欧美无人区码 | 久久久久久久久蜜桃 | 欧美黑人性暴力猛交喷水 | 久久综合九色综合欧美狠狠 | 精品一区二区三区波多野结衣 | 国产精品久久久午夜夜伦鲁鲁 | 我要看www免费看插插视频 | 久久久婷婷五月亚洲97号色 | 999久久久国产精品消防器材 | 亚洲乱码中文字幕在线 | 丁香花在线影院观看在线播放 | 精品国产国产综合精品 | 一本久久a久久精品vr综合 | 日韩视频 中文字幕 视频一区 | 亚洲日韩乱码中文无码蜜桃臀网站 | 欧美日本精品一区二区三区 | 性色av无码免费一区二区三区 | 人人妻人人澡人人爽欧美一区 | 俺去俺来也在线www色官网 | 精品久久久无码中文字幕 | 亚洲乱码中文字幕在线 | 精品国产一区二区三区四区 | 无码吃奶揉捏奶头高潮视频 | 国产成人无码av一区二区 | 国产精品久免费的黄网站 | 久久zyz资源站无码中文动漫 | 兔费看少妇性l交大片免费 | а√天堂www在线天堂小说 | www国产亚洲精品久久网站 | а√资源新版在线天堂 | 国产网红无码精品视频 | 男女下面进入的视频免费午夜 | 国产小呦泬泬99精品 | 中文字幕无码免费久久9一区9 | 免费视频欧美无人区码 | 日本乱人伦片中文三区 | 亚洲国产av精品一区二区蜜芽 | 成人无码精品一区二区三区 | 激情内射亚州一区二区三区爱妻 | 国产精品爱久久久久久久 | 久久久久免费看成人影片 | 国产精品香蕉在线观看 | 国产欧美熟妇另类久久久 | 日本又色又爽又黄的a片18禁 | 无码福利日韩神码福利片 | 无码人妻精品一区二区三区不卡 | 亚洲国产成人av在线观看 | 鲁大师影院在线观看 | 高清不卡一区二区三区 | 色 综合 欧美 亚洲 国产 | 牛和人交xxxx欧美 | 99视频精品全部免费免费观看 | 亚洲另类伦春色综合小说 | 亚洲精品一区二区三区大桥未久 | 丰满人妻被黑人猛烈进入 | 少妇人妻偷人精品无码视频 | 性史性农村dvd毛片 | 日本熟妇大屁股人妻 | 无码人妻av免费一区二区三区 | 亚洲精品久久久久中文第一幕 | 国产精品沙发午睡系列 | 超碰97人人射妻 | 国产精品办公室沙发 | 青青草原综合久久大伊人精品 | 性欧美大战久久久久久久 | 精品一区二区三区无码免费视频 | 蜜桃av蜜臀av色欲av麻 999久久久国产精品消防器材 | 国产精品亚洲专区无码不卡 | 撕开奶罩揉吮奶头视频 | 精品国产一区av天美传媒 | 强伦人妻一区二区三区视频18 | 午夜性刺激在线视频免费 | 乱人伦中文视频在线观看 | 久久aⅴ免费观看 | 在线播放无码字幕亚洲 | 亚洲热妇无码av在线播放 | 午夜时刻免费入口 | 亚洲精品欧美二区三区中文字幕 | 国产口爆吞精在线视频 | 亚洲中文字幕va福利 | 国产激情一区二区三区 | 国产人妻大战黑人第1集 | 亚洲另类伦春色综合小说 | 亚洲国产欧美在线成人 | 对白脏话肉麻粗话av | 未满小14洗澡无码视频网站 | 夜精品a片一区二区三区无码白浆 | 国产乱人伦app精品久久 国产在线无码精品电影网 国产国产精品人在线视 | 2020久久超碰国产精品最新 | 性欧美熟妇videofreesex | 野狼第一精品社区 | 99久久精品日本一区二区免费 | 亚洲 欧美 激情 小说 另类 | 任你躁在线精品免费 | 99久久久国产精品无码免费 | 日日天日日夜日日摸 | 激情五月综合色婷婷一区二区 | 欧美熟妇另类久久久久久不卡 | 成 人影片 免费观看 | 性欧美疯狂xxxxbbbb | 欧美日韩一区二区免费视频 | 蜜臀av在线观看 在线欧美精品一区二区三区 | 国产成人综合在线女婷五月99播放 | 精品久久久无码中文字幕 | 性啪啪chinese东北女人 | 麻豆国产丝袜白领秘书在线观看 | 少妇性l交大片欧洲热妇乱xxx | 国产亚洲精品久久久久久大师 | 乌克兰少妇性做爰 | 国产精品人妻一区二区三区四 | 午夜丰满少妇性开放视频 | 久久伊人色av天堂九九小黄鸭 | 欧美老人巨大xxxx做受 | 精品偷拍一区二区三区在线看 | 欧美阿v高清资源不卡在线播放 | 未满成年国产在线观看 | 免费播放一区二区三区 | 无码吃奶揉捏奶头高潮视频 | 亚洲の无码国产の无码影院 | 国产精品无码一区二区三区不卡 | 国产免费观看黄av片 | 大屁股大乳丰满人妻 | 久久99精品国产麻豆蜜芽 | 精品无码国产自产拍在线观看蜜 | 十八禁真人啪啪免费网站 | 老头边吃奶边弄进去呻吟 | 日韩在线不卡免费视频一区 | 18禁止看的免费污网站 | 人妻互换免费中文字幕 | 丰满少妇女裸体bbw | 乱人伦中文视频在线观看 | 无人区乱码一区二区三区 | 国产精品无码mv在线观看 | 中文字幕精品av一区二区五区 | 午夜成人1000部免费视频 | 女人色极品影院 | 国产成人精品必看 | 成人欧美一区二区三区黑人 | 精品亚洲韩国一区二区三区 | 国产性生交xxxxx无码 | 内射白嫩少妇超碰 | 天天综合网天天综合色 | 国产精品无码永久免费888 | 人妻中文无码久热丝袜 | 国产亚洲精品久久久久久 | 久久久久成人精品免费播放动漫 | 国产成人无码av片在线观看不卡 | 国产香蕉尹人视频在线 | 婷婷色婷婷开心五月四房播播 | 激情爆乳一区二区三区 | 青青青爽视频在线观看 | 超碰97人人做人人爱少妇 | av无码久久久久不卡免费网站 | 九九在线中文字幕无码 | 黑人巨大精品欧美黑寡妇 | 国产内射爽爽大片视频社区在线 | 男女猛烈xx00免费视频试看 | 日本爽爽爽爽爽爽在线观看免 | 国产舌乚八伦偷品w中 | av无码电影一区二区三区 | 女人被男人爽到呻吟的视频 | 熟妇人妻中文av无码 | 国产三级精品三级男人的天堂 | 色婷婷av一区二区三区之红樱桃 | 亚洲a无码综合a国产av中文 | 国产suv精品一区二区五 | 久久精品国产精品国产精品污 | 精品一二三区久久aaa片 | 男人和女人高潮免费网站 | 青青久在线视频免费观看 | 国产内射爽爽大片视频社区在线 | 国产精品第一国产精品 | 对白脏话肉麻粗话av | 人妻aⅴ无码一区二区三区 | 免费看男女做好爽好硬视频 | 日本爽爽爽爽爽爽在线观看免 | 女人色极品影院 | 天天躁日日躁狠狠躁免费麻豆 | 久久精品女人天堂av免费观看 | 欧美老熟妇乱xxxxx | 成人欧美一区二区三区黑人免费 | 成年美女黄网站色大免费视频 | 99国产精品白浆在线观看免费 | 亚洲狠狠婷婷综合久久 | 大肉大捧一进一出视频出来呀 | 熟女俱乐部五十路六十路av | 久久精品中文闷骚内射 | 国内精品人妻无码久久久影院 | 人妻天天爽夜夜爽一区二区 | 久久久精品国产sm最大网站 | 久久99热只有频精品8 | 无码人中文字幕 | 精品国产麻豆免费人成网站 | 日韩av激情在线观看 | 奇米影视7777久久精品 | 国产人妻大战黑人第1集 | 又紧又大又爽精品一区二区 | 99精品无人区乱码1区2区3区 | 日韩人妻少妇一区二区三区 | 狠狠色欧美亚洲狠狠色www | 最新版天堂资源中文官网 | 5858s亚洲色大成网站www | 黑人粗大猛烈进出高潮视频 | 无码人妻精品一区二区三区下载 | 亚洲国产综合无码一区 | 沈阳熟女露脸对白视频 | 国产高清av在线播放 | 亚洲码国产精品高潮在线 | 国产人成高清在线视频99最全资源 | 熟女少妇人妻中文字幕 | 国产真实乱对白精彩久久 | 精品乱码久久久久久久 | 亚洲日韩一区二区三区 | 天下第一社区视频www日本 | 欧美喷潮久久久xxxxx | 成年美女黄网站色大免费视频 | 亚洲欧洲无卡二区视頻 | 欧美 亚洲 国产 另类 | 中文字幕无线码免费人妻 | 日本爽爽爽爽爽爽在线观看免 | 精品无码一区二区三区的天堂 | 婷婷综合久久中文字幕蜜桃三电影 | 久久国产自偷自偷免费一区调 | 天天av天天av天天透 | 日本护士毛茸茸高潮 | 色情久久久av熟女人妻网站 | 亚洲第一无码av无码专区 | 亚洲一区二区三区偷拍女厕 | 综合人妻久久一区二区精品 | 久久99精品国产麻豆蜜芽 | 一本大道久久东京热无码av | 强辱丰满人妻hd中文字幕 | 亚洲精品鲁一鲁一区二区三区 | 日本高清一区免费中文视频 | 国产精品人人爽人人做我的可爱 | 国产人妻精品一区二区三区不卡 | 老子影院午夜伦不卡 | 色婷婷欧美在线播放内射 | 亚洲国产精品无码一区二区三区 | 亚洲综合无码久久精品综合 | 亚洲成a人片在线观看无码3d | 国产成人无码一二三区视频 | 人人妻人人澡人人爽欧美一区 | 国产无av码在线观看 | 国产精品igao视频网 | 亚洲狠狠婷婷综合久久 | 综合激情五月综合激情五月激情1 | 国产在线精品一区二区高清不卡 | 国产真实乱对白精彩久久 | 亚洲gv猛男gv无码男同 | 国产精品久久久 | 亚洲爆乳大丰满无码专区 | 精品国偷自产在线视频 | 亚洲国产精品毛片av不卡在线 | 国产亚洲精品久久久久久国模美 | 一本色道久久综合亚洲精品不卡 | 无码午夜成人1000部免费视频 | 亚洲自偷自拍另类第1页 | 欧美35页视频在线观看 | 日产精品高潮呻吟av久久 | 丰满少妇熟乱xxxxx视频 | 久久精品一区二区三区四区 | 久久久久久av无码免费看大片 | 色一情一乱一伦一区二区三欧美 | 国产精品久久精品三级 | 福利一区二区三区视频在线观看 | 成人精品一区二区三区中文字幕 | 性欧美熟妇videofreesex | 精品 日韩 国产 欧美 视频 | 欧美老妇交乱视频在线观看 | 狠狠色噜噜狠狠狠狠7777米奇 | 日本一本二本三区免费 | 国产超碰人人爽人人做人人添 | 宝宝好涨水快流出来免费视频 | 国产精品内射视频免费 | 永久免费观看美女裸体的网站 | 亚洲国产精华液网站w | 久久亚洲日韩精品一区二区三区 | 伊人色综合久久天天小片 | 亚洲综合在线一区二区三区 | 无套内谢的新婚少妇国语播放 | 久久精品国产一区二区三区 | 无码人妻出轨黑人中文字幕 | www国产亚洲精品久久久日本 | 无码av中文字幕免费放 | 国产一区二区不卡老阿姨 | 曰韩无码二三区中文字幕 | 国产一区二区三区四区五区加勒比 | 精品一二三区久久aaa片 | 亚拍精品一区二区三区探花 | 亚洲国产高清在线观看视频 | 中文字幕乱妇无码av在线 | 乱人伦中文视频在线观看 | 精品一区二区三区波多野结衣 | 九九在线中文字幕无码 | 国产真实乱对白精彩久久 | 国产成人精品一区二区在线小狼 | 亚洲一区二区三区含羞草 | 国产精品美女久久久久av爽李琼 | 爽爽影院免费观看 | 亚洲精品午夜国产va久久成人 | 免费人成网站视频在线观看 | 亚洲の无码国产の无码影院 | 欧洲极品少妇 | 在线精品国产一区二区三区 | 少妇高潮喷潮久久久影院 | √天堂中文官网8在线 | 亚洲の无码国产の无码影院 | 精品国产成人一区二区三区 | 国产一区二区三区四区五区加勒比 | 男女爱爱好爽视频免费看 | 亚洲欧美综合区丁香五月小说 | 国产国语老龄妇女a片 | 亚洲区欧美区综合区自拍区 | 亚洲一区二区三区播放 | 精品国产福利一区二区 | 十八禁真人啪啪免费网站 | 一本加勒比波多野结衣 | 九九久久精品国产免费看小说 | 日日橹狠狠爱欧美视频 | 中文字幕无码av激情不卡 | 精品无码成人片一区二区98 | 天天爽夜夜爽夜夜爽 | 国产精品久久国产精品99 | 久久久精品456亚洲影院 | 国产口爆吞精在线视频 | 午夜无码区在线观看 | 无码av岛国片在线播放 | 中文字幕av伊人av无码av | 久久精品中文字幕一区 | 久久久久久久人妻无码中文字幕爆 | 国产熟女一区二区三区四区五区 | 国产亚洲精品久久久久久久 | 少妇厨房愉情理9仑片视频 | 国产成人一区二区三区别 | 熟女少妇人妻中文字幕 | 野外少妇愉情中文字幕 | 国产精品国产三级国产专播 | 久久久久人妻一区精品色欧美 | 亚洲中文字幕无码中文字在线 | 亚洲中文字幕无码中文字在线 | 欧美精品无码一区二区三区 | 人妻与老人中文字幕 | 国产精品va在线播放 | 麻豆精品国产精华精华液好用吗 | 精品成在人线av无码免费看 | 日本一区二区三区免费高清 | 免费人成网站视频在线观看 | 在线播放免费人成毛片乱码 | 大乳丰满人妻中文字幕日本 | 国产高清av在线播放 | 青青草原综合久久大伊人精品 | 成人欧美一区二区三区黑人 | 精品亚洲韩国一区二区三区 | 欧美熟妇另类久久久久久多毛 | 中文字幕色婷婷在线视频 | 丝袜足控一区二区三区 | 少妇无套内谢久久久久 | 秋霞成人午夜鲁丝一区二区三区 | 无码人妻久久一区二区三区不卡 | 性色欲网站人妻丰满中文久久不卡 | 中文字幕久久久久人妻 | 欧美怡红院免费全部视频 | 久久无码中文字幕免费影院蜜桃 | 免费观看又污又黄的网站 | 国产国语老龄妇女a片 | 亚洲日韩av一区二区三区四区 | 国产精品久久久久久久影院 | 精品偷自拍另类在线观看 | 久久久久久国产精品无码下载 | 日韩无码专区 | 暴力强奷在线播放无码 | 久久精品中文字幕大胸 | 精品久久久久久人妻无码中文字幕 | 亚洲人成影院在线观看 | 国产在线精品一区二区三区直播 | 国产精品高潮呻吟av久久 | 成人一区二区免费视频 | 蜜臀av无码人妻精品 | 18禁黄网站男男禁片免费观看 | 少妇性荡欲午夜性开放视频剧场 | a片在线免费观看 | 久久综合色之久久综合 | 色欲久久久天天天综合网精品 | 国产熟妇另类久久久久 | www成人国产高清内射 | 大肉大捧一进一出好爽视频 | 国产成人无码av在线影院 | 国产九九九九九九九a片 | 久久久国产精品无码免费专区 | 国产特级毛片aaaaaaa高清 | 内射后入在线观看一区 | 久久久久亚洲精品中文字幕 | 亚洲精品久久久久久久久久久 | 欧美丰满老熟妇xxxxx性 | 成人欧美一区二区三区黑人 | 高潮毛片无遮挡高清免费 | 免费国产成人高清在线观看网站 | 人人澡人人透人人爽 | 日本丰满熟妇videos | 无码任你躁久久久久久久 | 国产精品无码成人午夜电影 | 亚洲精品午夜国产va久久成人 | 国产精品亚洲专区无码不卡 | 精品国产青草久久久久福利 | 99久久亚洲精品无码毛片 | 亚洲熟熟妇xxxx | 国产精品久久精品三级 | 麻豆果冻传媒2021精品传媒一区下载 | 国产亚洲精品久久久久久 | 在线视频网站www色 | 国产亚洲日韩欧美另类第八页 | 国产真人无遮挡作爱免费视频 | 成人三级无码视频在线观看 | 成人女人看片免费视频放人 | 中文字幕乱码人妻无码久久 | 任你躁在线精品免费 | 男人的天堂2018无码 | 日本熟妇浓毛 | 日本饥渴人妻欲求不满 | 国产午夜视频在线观看 | 亚洲精品国产a久久久久久 | 欧美日本日韩 | 一本无码人妻在中文字幕免费 | 久久久久久久久蜜桃 | 熟女俱乐部五十路六十路av | 在线播放免费人成毛片乱码 | 久久人人97超碰a片精品 | 精品无码av一区二区三区 | 国产精品久久久av久久久 | 无码av免费一区二区三区试看 | 欧美丰满老熟妇xxxxx性 | 欧洲欧美人成视频在线 | 国产一区二区三区四区五区加勒比 | 国产精品对白交换视频 | 亚洲 欧美 激情 小说 另类 | 国产舌乚八伦偷品w中 | 亚洲精品午夜国产va久久成人 | 精品人妻人人做人人爽 | 国精产品一区二区三区 | 日韩无码专区 | 扒开双腿疯狂进出爽爽爽视频 | 无码人妻少妇伦在线电影 | 撕开奶罩揉吮奶头视频 | 青春草在线视频免费观看 | 男人扒开女人内裤强吻桶进去 | 18禁黄网站男男禁片免费观看 | 人妻插b视频一区二区三区 | 亚洲一区二区三区 | 亚洲精品成a人在线观看 | 自拍偷自拍亚洲精品被多人伦好爽 | 国产精品无码一区二区三区不卡 | 伊在人天堂亚洲香蕉精品区 | 久久熟妇人妻午夜寂寞影院 | 小鲜肉自慰网站xnxx | 成人av无码一区二区三区 | 九九久久精品国产免费看小说 | 国产亚洲精品久久久闺蜜 | 成人片黄网站色大片免费观看 | 老熟妇乱子伦牲交视频 | 国内老熟妇对白xxxxhd | 亚洲中文字幕在线无码一区二区 | 少妇高潮喷潮久久久影院 | 欧美老妇交乱视频在线观看 | 女高中生第一次破苞av | 领导边摸边吃奶边做爽在线观看 | 嫩b人妻精品一区二区三区 | 国产精品亚洲专区无码不卡 | 成 人 免费观看网站 | 成人影院yy111111在线观看 | 自拍偷自拍亚洲精品10p | av香港经典三级级 在线 | 国产色视频一区二区三区 | 性欧美熟妇videofreesex | 97精品人妻一区二区三区香蕉 | 帮老师解开蕾丝奶罩吸乳网站 | 激情内射日本一区二区三区 | 亚洲人成影院在线观看 | 成 人 网 站国产免费观看 | 日本精品久久久久中文字幕 | 成人欧美一区二区三区 | 野狼第一精品社区 | 九九综合va免费看 | 国产小呦泬泬99精品 | 未满小14洗澡无码视频网站 | 国色天香社区在线视频 | 高清无码午夜福利视频 | 午夜不卡av免费 一本久久a久久精品vr综合 | 亚洲国产高清在线观看视频 | 初尝人妻少妇中文字幕 | 国产精品无码成人午夜电影 | 久久精品国产精品国产精品污 | 国产一区二区三区日韩精品 | 天天拍夜夜添久久精品 | 国产精品无套呻吟在线 | 亚洲小说春色综合另类 | 精品夜夜澡人妻无码av蜜桃 | 99久久无码一区人妻 | 国产精品亚洲专区无码不卡 | 内射后入在线观看一区 | 亚洲欧美日韩国产精品一区二区 | 波多野结衣一区二区三区av免费 | 欧美日韩色另类综合 | 日韩欧美成人免费观看 | 国产精品手机免费 | 天天综合网天天综合色 | 亚洲成av人片天堂网无码】 | 狠狠噜狠狠狠狠丁香五月 | 久久视频在线观看精品 | 国产9 9在线 | 中文 | 中文字幕无码av波多野吉衣 | 国产亚洲美女精品久久久2020 | 久久综合网欧美色妞网 | 国产成人无码午夜视频在线观看 | 国产精品18久久久久久麻辣 | 亚洲熟悉妇女xxx妇女av | 在线播放亚洲第一字幕 | 久久精品一区二区三区四区 | 亚洲国产欧美日韩精品一区二区三区 | 亚洲成av人在线观看网址 | 爆乳一区二区三区无码 | 国色天香社区在线视频 | 大肉大捧一进一出视频出来呀 | 欧美成人免费全部网站 | 又大又硬又爽免费视频 | 欧美野外疯狂做受xxxx高潮 | 欧美性生交活xxxxxdddd | 欧美日韩久久久精品a片 | 国产无遮挡又黄又爽免费视频 | 最近免费中文字幕中文高清百度 | av无码不卡在线观看免费 | 亚洲日本一区二区三区在线 | 亚洲乱码中文字幕在线 | 久久久久国色av免费观看性色 | 18精品久久久无码午夜福利 | 国产人妖乱国产精品人妖 | 国产精品久久久久久久影院 | 欧美乱妇无乱码大黄a片 | 久久精品视频在线看15 | 久久国产精品萌白酱免费 | 夜夜高潮次次欢爽av女 | 亚洲精品久久久久久一区二区 | 青春草在线视频免费观看 | 国产精品美女久久久网av | 亲嘴扒胸摸屁股激烈网站 | 中文字幕色婷婷在线视频 | 国产欧美精品一区二区三区 | 亚洲精品中文字幕乱码 | 婷婷丁香六月激情综合啪 | 午夜精品久久久久久久 | 欧美真人作爱免费视频 | 99久久久无码国产aaa精品 | 99精品久久毛片a片 | 天天做天天爱天天爽综合网 | 超碰97人人射妻 | 久久亚洲日韩精品一区二区三区 | 久久国产精品精品国产色婷婷 | 婷婷丁香六月激情综合啪 | 成人亚洲精品久久久久 | 国产精品亚洲а∨无码播放麻豆 | 又湿又紧又大又爽a视频国产 | 亚洲中文字幕乱码av波多ji | 色五月五月丁香亚洲综合网 | 麻豆蜜桃av蜜臀av色欲av | 自拍偷自拍亚洲精品10p | 国产精品爱久久久久久久 | 蜜桃av蜜臀av色欲av麻 999久久久国产精品消防器材 | 熟妇人妻无码xxx视频 | 粗大的内捧猛烈进出视频 | 妺妺窝人体色www在线小说 | 四虎4hu永久免费 | 欧美精品一区二区精品久久 | 在线天堂新版最新版在线8 | 欧美精品在线观看 | 欧美高清在线精品一区 | 欧美亚洲日韩国产人成在线播放 | 福利一区二区三区视频在线观看 | 国产人妻精品午夜福利免费 | 伊人久久大香线蕉av一区二区 | 少妇被黑人到高潮喷出白浆 | 澳门永久av免费网站 | 欧美日韩综合一区二区三区 | 国产美女精品一区二区三区 | 中文字幕色婷婷在线视频 | 欧洲vodafone精品性 | 久久无码中文字幕免费影院蜜桃 | 久久精品99久久香蕉国产色戒 | 亚洲 欧美 激情 小说 另类 | 国产精品嫩草久久久久 | 少妇人妻偷人精品无码视频 | 精品一二三区久久aaa片 | 白嫩日本少妇做爰 | 国产真实伦对白全集 | 亚洲精品国偷拍自产在线麻豆 | 色诱久久久久综合网ywww | 精品乱子伦一区二区三区 | 国产va免费精品观看 | 国产精品久久精品三级 | 亚洲综合色区中文字幕 | 人人澡人人妻人人爽人人蜜桃 | 少妇太爽了在线观看 | 日日躁夜夜躁狠狠躁 |