数字后端面试100问(校招版)
上次發(fā)布面試100問以后,應(yīng)讀者強烈要求,抽空寫了一篇校招版的后端100問,希望對各位應(yīng)屆生面試有用。題目難度類型更偏向基礎(chǔ)概念的理解。對于校招,由于在校學(xué)生缺乏實際項目經(jīng)驗,考官通常會更加注重學(xué)生對于在校所學(xué)知識的掌握,知識點的廣度是很重要的。
一共整理了100個題目。內(nèi)容涵蓋時序,功耗,PD,PV,工藝,腳本等方面,難度由簡入繁,分為5個等級,難度指數(shù)說明如下:
1:常識,這個都回答不了的話回家先閉門思過啦。學(xué)渣階段。
2:簡單,面試前稍微準備一下應(yīng)該都能回答。學(xué)弱階段。
3:一般,稍微有一點難度,屬于可能答不全的問題。學(xué)民階段。
4:較難,有難度的題目,通常要求有一定的綜合性思考能力。學(xué)神階段。
5:很難,非常有難度的題目,能回答出來的可以媲美普通工程師了。學(xué)霸階段。
小編水平有限,有的題目難免會有錯,大家可以把這100個題目用做面試前的練習(xí)題。如果這100個問題都能解答的話,offer離你就不遠了。覺得有用的朋友可以多多轉(zhuǎn)發(fā)哦!
PS:題目順序隨機排列,更易記牢
1. 請說一下數(shù)字后端主要做了什么事情?(概述性,難度1)
2. 請簡單描述一下數(shù)字后端的基本流程?(概述性,難度1)
3. 請說一下CMOS集成電路工藝的大致步驟是什么?(工藝,難度2)
4. 請說一下memory的擺放規(guī)則?(floorplan,難度2)
5. welltap, endcap cell的作用,以及如何擺放?(place,難度2)
6. Place之后有timing violation,應(yīng)該怎么辦?(place,難度3)
7. CTS的目的是什么?怎么樣是一個合格的clock tree? (CTS,難度3)
8. 為什么時鐘樹需要平衡?不平衡的時鐘樹有什么缺點?(CTS,難度2)
9. 什么是latch up效應(yīng)? (工藝,難度2)
10. 芯片功耗主要包含哪些內(nèi)容?(power,難度4)
11. 請寫一段腳本,統(tǒng)計下圖中人名出現(xiàn)的次數(shù),并按從多到少次數(shù)排列,語言任意(腳本,難度3)
Tom
Jack
Brian
Brian
Jack
Jack
12. 請說一下標準單元的延遲與哪些因素有關(guān)?(時序,難度2)
13. 請說一下為什么需要對時序器件進行setup,hold檢查?(時序,難度2)
14. 解釋一下什么是transition?(時序,難度2)
15. 什么是RC寄生參數(shù),為什么要提取RC寄生參數(shù)?(時序,難度2)
16. PVT全稱是什么?如何影響我們的芯片?(時序,難度2)
17. timing path根據(jù)路徑,一般可以劃分為哪幾種?(時序,難度2)
18. 綜合主要做了什么事情?說一下具體綜合的流程?(綜合,難度3)
19. 工具在優(yōu)化timing階段,主要會修復(fù)哪些內(nèi)容? (place, 難度2)
20. 請畫一個CMOS反相器的結(jié)構(gòu)圖(工藝,難度1)
21. 什么是clock skew?如何計算的?(CTS,難度2)
22.?請寫一下setup, hold slack的計算公式 (時序,難度2)
23. create_clock和create_generate_clock有什么區(qū)別?(時序,難度3)
24. STA的基本概念,與仿真相比,它的優(yōu)勢是什么?(時序,難度1)
25.?STA在什么階段做,各個階段的STA都有什么區(qū)別? (時序,難度3)
26. LEF文件的主要作用,它和GDSII有什么區(qū)別?(數(shù)據(jù)庫,難度2)
27. 了解Finfet工藝么,請簡單畫一下一個finfet晶體管結(jié)構(gòu)示意圖(工藝,難度2)
28. 列舉你知道的幾種修復(fù)setup timing violation的方法,該優(yōu)先使用哪一種?(時序,難度4)
29. timing signoff報告主要有哪些內(nèi)容?(時序,難度4)
30. spef文件里面記錄了什么內(nèi)容?如何得到?(時序,難度2)
31. 時鐘樹走線和普通信號線有什么區(qū)別??(CTS,難度2)
32. 為什么memory需要靠邊擺放?(floorplan,難度3)
33. 靜態(tài)功耗的概念,如何降低?請列舉一些你知道的方法 (power,難度4)
34.?芯片為什么需要采用縱橫交錯的走線方式? (route,難度2)
35. 列舉一下你知道的修復(fù)hold timing violation的方法?(時序,難度3)
36. 我們在修復(fù)hold violation時,buffer應(yīng)該加在什么位置?(時序,難度3)
37. 列舉幾個常見的drc種類?(route,難度2)
38.?CTS之前是如何計算clock path上的延遲的? (CTS,難度2)
39.?正則表達式的匹配1個以及1個以上的,該如何匹配? (腳本,難度1)
40. 請說一下drv的概念,主要包含哪些內(nèi)容?(時序,難度2)
41. 介紹一下CPPR的概念,為什么需要用到CPPR?(時序,難度3)
42. 說一下Calibre檢查drc的具體流程?(PV,難度4)
43. 什么是天線效應(yīng)(antenna effect)? 說幾種你知道的修復(fù)方法?(route,難度4)
44. 動態(tài)功耗主要包含哪些部分,如何降低?請列舉一些你知道的方法 (power,難度4)
45. 你在學(xué)校里接觸過哪種工藝?說一說這種工藝有什么特點?(工藝,難度3)
46. 請簡單自我介紹一下?(湊數(shù),難度1)
47. 請列舉一下導(dǎo)入后端設(shè)計需要哪些文件?(數(shù)據(jù)庫,難度1)
48. 請列舉幾個你知道的sdc命令,并說出它的用途?(時序,難度2)
49. 修復(fù)timing violation時,首先應(yīng)該關(guān)注什么,有哪些需要注意的點?(時序,難度5)
50. CTS之前需要修復(fù)hold violation么,為什么?(CTS,難度2)
51. 在修復(fù)hold violation時,delay cell和buffer該優(yōu)先使用哪一種,各有什么優(yōu)缺點?(時序,難度3)
52. clock latency的概念,什么情況下需要設(shè)置??(時序,難度3)
53. low vt cell和high vt cell有什么區(qū)別,各有什么優(yōu)缺點?(工藝,難度3)
54. 如何判斷floorplan擺放質(zhì)量的好壞?(floorplan,難度5)
55. 電源走線為什么需要使用高層線?(power,難度2)
56. 請介紹幾種修復(fù)drc的方法?(route,難度4)
57. 什么是crosstalk? 為什么會產(chǎn)生crosstalk? 會帶來什么后果?(時序,難度4)
58. 寫過sdc么,sdc文件里,主要包含哪些內(nèi)容,我們該如何約束一個設(shè)計?(時序,難度5)
59. 請說一下OCV的概念,為什么需要用到OCV,什么階段需要OCV,如何實現(xiàn)?(時序,難度5)
60. Def文件的作用是什么?(數(shù)據(jù)庫,難度1)
61. 我們需要在什么階段做formal驗證?(形式驗證,難度2)
62.?綜合的時候,我們是怎么計算net的delay?(綜合,難度2)
63. 為什么選擇我們公司?(湊數(shù),難度1)
64. timing signoff需要讀入哪些文件?說一下具體的流程(時序,難度4)
65. core里面是如何給標準單元供電的?(power,難度2)
66. sdf文件里面記錄了什么內(nèi)容?如何得到?(時序,難度3)
67. 在生成clock tree時,我們應(yīng)該使用invertor還是buffer, 為什么?(CTS,難度2)
68.?你知道設(shè)置的利用率是如何計算的嗎,你的設(shè)計利用率是多少?(floorplan,難度3)
69. 碰到routing congestion該怎么辦?(route,難度4)
70.?談?wù)務(wù)麄€芯片設(shè)計的大概流程(概述性,難度1)
71. 從后端角度,對于芯片設(shè)計的要做哪些檢查?(概述性,難度4)
72. clock時鐘一般采用哪層金屬走線?(CTS,難度2)
73. 溫度對標準單元延遲的影響?(時序,難度1)
74.?下面,我們用英語對話一下(湊數(shù),難度2)
75. rc corner有哪幾種?各有什么特點? (時序,難度2)
76.?為什么我們需要優(yōu)先修復(fù)transition和cap? (時序,難度2)
77. 我們一般采用哪些驅(qū)動能力的cell去生長時鐘樹?為什么?(CTS,難度2)
78.?route之前的連線和后面最終的連線有什么區(qū)別? (route,難度3)
79. 請說出幾個你用到的后端工具,他們分別用來做什么?(概述性,難度1)
80.?我們?yōu)槭裁匆獙et設(shè)置fanout限制??(時序,難度2)
81. 為什么采用double width, double spacing的時鐘樹走線方式?(CTS,難度3)
82. setup和hold violation該優(yōu)先修復(fù)哪種,為什么?(時序,難度3)
83. DFF哪些pin需要做timing檢查?(時序,難度3)
84. clock uncertainty主要包含哪些內(nèi)容?你設(shè)置了多少?(時序,難度3)
85. 什么是IR drop? 有IR drop問題應(yīng)該怎么辦?(power, 難度4)
86. calibre里面檢查drc和PR工具里面的drc有什么區(qū)別?(PV,難度3)
87. 什么是LVS?出現(xiàn)LVS violation時應(yīng)該怎么辦?(PV,難度4)
88. latch和D觸發(fā)器有什么區(qū)別?(工藝,難度1)
89. 你在學(xué)校做過后端設(shè)計項目么,有多少萬門?(概述性,難度1)
90. blockage的作用,應(yīng)該在什么地方添加?(floorplan,難度3)
91. 為什么要使用物理綜合,它的好處是什么?(綜合,難度3)
92. 你做的這個后端項目里面時鐘樹是怎么生長的?(CTS,難度4)
93.?請說一下clock gate cell的結(jié)構(gòu) (CTS,難度3)
94. 如何把clock skew減小?列舉一些你知道的方法?(CTS,難度5)
95.?LEC主要檢查哪些內(nèi)容,為什么要做? (形式驗證,難度3)
96.?為什么芯片需要在多個view下signoff? (時序,難度3)
97. 說一下檢查LVS的具體流程?(PV,難度3)
98. GBA和PBA分析timing有什么區(qū)別?(時序,難度3)
99. 什么是EM?有EM問題應(yīng)該怎么辦?(power,難度3)
100. 綜合的約束和后端的約束有什么區(qū)別?(綜合,難度3)
最后祝大家都順利拿到offer~~
附送:社招版面試100問
【數(shù)字后端面試100問(2019全新版)】
往期回顧
靜態(tài)時序分析STA合集一
靜態(tài)時序分析STA合集二
時序基本概念介紹<sdc合集>
數(shù)字后端基本概念合集(一)
數(shù)字后端基本概念合集(二)
數(shù)字后端基本概念合集(三)
Low Power概念介紹合集
數(shù)字后端dbGet使用方法合集
號外,數(shù)字后端交流群招人啦
IC圈的世界杯 | 論芯片設(shè)計的勝利十一人
知否?知否?Block PD應(yīng)該提交哪些數(shù)據(jù)?
Timing ECO手修攻略
數(shù)字后端面試100問(2019全新版)
簡歷請戳郵箱:taozhang3260@163.com
覺得有用的話,給我點個好看吧
總結(jié)
以上是生活随笔為你收集整理的数字后端面试100问(校招版)的全部內(nèi)容,希望文章能夠幫你解決所遇到的問題。
- 上一篇: 面试官:说一下Synchronized底
- 下一篇: ICAP 协议